Started by timer [Pipeline] Start of Pipeline [Pipeline] node Running on Jenkins in /var/jenkins_home/workspace/potato [Pipeline] { [Pipeline] stage [Pipeline] { (Git Clone) [Pipeline] sh + rm -rf *.xml [Pipeline] sh + rm -rf potato [Pipeline] sh + git clone --recursive --depth=1 https://github.com/skordal/potato potato Cloning into 'potato'... [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Simulation) [Pipeline] dir Running in /var/jenkins_home/workspace/potato/potato [Pipeline] { [Pipeline] sh + /eda/oss-cad-suite/bin/ghdl -a --std=08 example/aee_rom_wrapper.vhd example/toplevel.vhd soc/pp_fifo.vhd soc/pp_soc_gpio.vhd soc/pp_soc_intercon.vhd soc/pp_soc_memory.vhd soc/pp_soc_reset.vhd soc/pp_soc_timer.vhd soc/pp_soc_uart.vhd src/pp_alu.vhd src/pp_alu_control_unit.vhd src/pp_alu_mux.vhd src/pp_comparator.vhd src/pp_constants.vhd src/pp_control_unit.vhd src/pp_core.vhd src/pp_counter.vhd src/pp_csr.vhd src/pp_csr_alu.vhd src/pp_csr_unit.vhd src/pp_decode.vhd src/pp_execute.vhd src/pp_fetch.vhd src/pp_icache.vhd src/pp_imm_decoder.vhd src/pp_memory.vhd src/pp_potato.vhd src/pp_register_file.vhd src/pp_types.vhd src/pp_utilities.vhd src/pp_wb_adapter.vhd src/pp_wb_arbiter.vhd src/pp_writeback.vhd example/tb_toplevel.vhd testbenches/tb_processor.vhd testbenches/tb_soc.vhd testbenches/tb_soc_gpio.vhd testbenches/tb_soc_intercon.vhd testbenches/tb_soc_memory.vhd testbenches/tb_soc_timer.vhd testbenches/tb_soc_uart.vhd example/aee_rom_wrapper.vhd:9:10:error: unit "pp_utilities" not found in library "work" use work.pp_utilities.all; ^ example/aee_rom_wrapper.vhd:20:51:error: no declaration for "log2" wb_adr_in : in std_logic_vector(log2(MEMORY_SIZE) - 1 downto 0); ^ example/aee_rom_wrapper.vhd:29:27:error: entity "aee_rom_wrapper" was not analysed architecture behaviour of aee_rom_wrapper is ^ /eda/oss-cad-suite/libexec/ghdl:error: compilation error [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Utilities) Stage "Utilities" skipped due to earlier failure(s) [Pipeline] getContext [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (FPGA Build Pipeline) Stage "FPGA Build Pipeline" skipped due to earlier failure(s) [Pipeline] getContext [Pipeline] parallel [Pipeline] { (Branch: digilent_arty_a7_100t) [Pipeline] stage [Pipeline] { (digilent_arty_a7_100t) Stage "digilent_arty_a7_100t" skipped due to earlier failure(s) [Pipeline] getContext [Pipeline] stage [Pipeline] { (Synthesis and PnR) Stage "digilent_arty_a7_100t" skipped due to earlier failure(s) [Pipeline] getContext [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Flash digilent_arty_a7_100t) Stage "digilent_arty_a7_100t" skipped due to earlier failure(s) [Pipeline] getContext [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Test digilent_arty_a7_100t) Stage "digilent_arty_a7_100t" skipped due to earlier failure(s) [Pipeline] getContext [Pipeline] } [Pipeline] // stage [Pipeline] } [Pipeline] // stage [Pipeline] } Failed in branch digilent_arty_a7_100t [Pipeline] // parallel [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Declarative: Post Actions) [Pipeline] junit Recording test results No test report files were found. Configuration error? Error when executing always post condition: Also: org.jenkinsci.plugins.workflow.actions.ErrorAction$ErrorId: d8603b1b-418d-4e8c-89db-e47f928a8e1a hudson.AbortException: No test report files were found. Configuration error? at PluginClassLoader for junit//hudson.tasks.junit.JUnitParser$ParseResultCallable.invoke(JUnitParser.java:253) at hudson.FilePath.act(FilePath.java:1234) at hudson.FilePath.act(FilePath.java:1217) at PluginClassLoader for junit//hudson.tasks.junit.JUnitParser.parseResult(JUnitParser.java:146) at PluginClassLoader for junit//hudson.tasks.junit.JUnitResultArchiver.parse(JUnitResultArchiver.java:177) at PluginClassLoader for junit//hudson.tasks.junit.JUnitResultArchiver.parseAndSummarize(JUnitResultArchiver.java:282) at PluginClassLoader for junit//hudson.tasks.junit.pipeline.JUnitResultsStepExecution.run(JUnitResultsStepExecution.java:62) at PluginClassLoader for junit//hudson.tasks.junit.pipeline.JUnitResultsStepExecution.run(JUnitResultsStepExecution.java:27) at PluginClassLoader for workflow-step-api//org.jenkinsci.plugins.workflow.steps.SynchronousNonBlockingStepExecution.lambda$start$0(SynchronousNonBlockingStepExecution.java:49) at java.base/java.util.concurrent.Executors$RunnableAdapter.call(Unknown Source) at java.base/java.util.concurrent.FutureTask.run(Unknown Source) at java.base/java.util.concurrent.ThreadPoolExecutor.runWorker(Unknown Source) at java.base/java.util.concurrent.ThreadPoolExecutor$Worker.run(Unknown Source) at java.base/java.lang.Thread.run(Unknown Source) [Pipeline] } [Pipeline] // stage [Pipeline] } [Pipeline] // node [Pipeline] End of Pipeline ERROR: script returned exit code 1 Finished: FAILURE