+ /eda/oss-cad-suite/bin/ghdl -a --std=08 hdl/alu.vhd hdl/control.vhd hdl/csr.vhd hdl/harv.vhd hdl/harv_pkg.vhd hdl/instr_fetch.vhd hdl/regfile.vhd hdl/ft_components/alu_tmr.vhd hdl/ft_components/control_tmr.vhd hdl/ft_components/hamming_decoder.vhd hdl/ft_components/hamming_encoder.vhd hdl/ft_components/hamming_pkg.vhd hdl/ft_components/hamming_register.vhd
hdl/alu.vhd:6:10:error: unit "harv_pkg" not found in library "work"
use work.harv_pkg.all;
^
hdl/alu.vhd:13:39:error: no declaration for "aluop_size"
operation_i : in std_logic_vector(ALUOP_SIZE-1 downto 0);
^
hdl/alu.vhd:20:22:error: entity "alu" was not analysed
architecture arch of alu is
^
/eda/oss-cad-suite/libexec/ghdl:error: compilation error