Skip to content

Console Output

+ /eda/oss-cad-suite/bin/nextpnr-ecp5 --json ./build/out.json --write ./build/out_pnr.json --45k --lpf Pequeno-Risco-5/fpga/ecp5/pinout.lpf --textcfg ./build/out.config --package CABGA381 --speed 6 --ignore-loops --lpf-allow-unconstrained
Info: constraining clock net 'clk' to 25.00 MHz

Info: Logic utilisation before packing:
Info:     Total LUT4s:        13/43848     0%
Info:         logic LUTs:     11/43848     0%
Info:         carry LUTs:      2/43848     0%
Info:           RAM LUTs:      0/ 5481     0%
Info:          RAMW LUTs:      0/10962     0%

Info:      Total DFFs:        10/43848     0%

Info: Packing IOs..
Info: pin 'tx$tr_io' constrained to Bel 'X90/Y20/PIOA'.
Info: pin 'rx$tr_io' constrained to Bel 'X90/Y20/PIOC'.
Info: pin 'reset$tr_io' constrained to Bel 'X0/Y29/PIOA'.
Info: pin 'led[7]$tr_io' constrained to Bel 'X90/Y20/PIOD'.
Info: pin 'led[6]$tr_io' constrained to Bel 'X0/Y44/PIOD'.
Info: pin 'led[5]$tr_io' constrained to Bel 'X0/Y59/PIOA'.
Info: pin 'led[4]$tr_io' constrained to Bel 'X15/Y71/PIOB'.
Info: pin 'led[3]$tr_io' constrained to Bel 'X90/Y29/PIOC'.
Info: pin 'led[2]$tr_io' constrained to Bel 'X90/Y44/PIOB'.
Info: pin 'led[1]$tr_io' constrained to Bel 'X0/Y44/PIOC'.
Info: pin 'led[0]$tr_io' constrained to Bel 'X0/Y59/PIOC'.
Info: pin 'clk$tr_io' constrained to Bel 'X0/Y68/PIOC'.
Info: Packing constants..
Info: Packing carries...
Info: Packing LUTs...
Info: Packing LUT5-7s...
Info: Packing FFs...
Info:     4 FFs paired with LUTs.
Info: Generating derived timing constraints...
Info: Promoting globals...
Info:     promoting clock net clk$TRELLIS_IO_IN to global network
Info: Checksum: 0x16e40db1

Info: Device utilisation:
Info: 	          TRELLIS_IO:    12/  245     4%
Info: 	                DCCA:     1/   56     1%
Info: 	              DP16KD:     0/  108     0%
Info: 	          MULT18X18D:     0/   72     0%
Info: 	              ALU54B:     0/   36     0%
Info: 	             EHXPLLL:     0/    4     0%
Info: 	             EXTREFB:     0/    2     0%
Info: 	                DCUA:     0/    2     0%
Info: 	           PCSCLKDIV:     0/    2     0%
Info: 	             IOLOGIC:     0/  160     0%
Info: 	            SIOLOGIC:     0/   85     0%
Info: 	                 GSR:     0/    1     0%
Info: 	               JTAGG:     0/    1     0%
Info: 	                OSCG:     0/    1     0%
Info: 	               SEDGA:     0/    1     0%
Info: 	                 DTR:     0/    1     0%
Info: 	             USRMCLK:     0/    1     0%
Info: 	             CLKDIVF:     0/    4     0%
Info: 	           ECLKSYNCB:     0/   10     0%
Info: 	             DLLDELD:     0/    8     0%
Info: 	              DDRDLL:     0/    4     0%
Info: 	             DQSBUFM:     0/   10     0%
Info: 	     TRELLIS_ECLKBUF:     0/    8     0%
Info: 	        ECLKBRIDGECS:     0/    2     0%
Info: 	                DCSC:     0/    2     0%
Info: 	          TRELLIS_FF:    10/43848     0%
Info: 	        TRELLIS_COMB:    19/43848     0%
Info: 	        TRELLIS_RAMW:     0/ 5481     0%

Info: Placed 12 cells based on constraints.
Info: Creating initial analytic placement for 19 cells, random placement wirelen = 1474.
Info:     at initial placer iter 0, wirelen = 557
Info:     at initial placer iter 1, wirelen = 540
Info:     at initial placer iter 2, wirelen = 529
Info:     at initial placer iter 3, wirelen = 530
Info: Running main analytical placer, max placement attempts per cell = 10000.
Info:     at iteration #1, type ALL: wirelen solved = 524, spread = 535, legal = 538; time = 0.01s
Info: HeAP Placer Time: 0.05s
Info:   of which solving equations: 0.00s
Info:   of which spreading cells: 0.00s
Info:   of which strict legalisation: 0.00s

Info: Running simulated annealing placer for refinement.
Info:   at iteration #1: temp = 0.000000, timing cost = 14, wirelen = 538
Info:   at iteration #3: temp = 0.000000, timing cost = 17, wirelen = 514 
Info: SA placement time 0.00s

Info: Max frequency for clock '$glbnet$clk$TRELLIS_IO_IN': 130.87 MHz (PASS at 25.00 MHz)

Info: Max delay <async>                           -> posedge $glbnet$clk$TRELLIS_IO_IN: 8.34 ns
Info: Max delay posedge $glbnet$clk$TRELLIS_IO_IN -> <async>                          : 11.32 ns

Info: Slack histogram:
Info:  legend: * represents 1 endpoint(s)
Info:          + represents [1,1) endpoint(s)
Info: [ 32359,  32704) |* 
Info: [ 32704,  33049) |* 
Info: [ 33049,  33394) |* 
Info: [ 33394,  33739) | 
Info: [ 33739,  34084) |* 
Info: [ 34084,  34429) | 
Info: [ 34429,  34774) | 
Info: [ 34774,  35119) | 
Info: [ 35119,  35464) | 
Info: [ 35464,  35809) |* 
Info: [ 35809,  36154) | 
Info: [ 36154,  36499) | 
Info: [ 36499,  36844) |* 
Info: [ 36844,  37189) |* 
Info: [ 37189,  37534) | 
Info: [ 37534,  37879) |*** 
Info: [ 37879,  38224) |* 
Info: [ 38224,  38569) |* 
Info: [ 38569,  38914) |***** 
Info: [ 38914,  39259) |* 
Info: Checksum: 0xdb3cbec2
Info: Routing globals...
Info:     routing clock net $glbnet$clk$TRELLIS_IO_IN using global 0

Info: Routing..
Info: Setting up routing queue.
Info: Routing 58 arcs.
Info:            |   (re-)routed arcs  |   delta    | remaining|       time spent     |
Info:    IterCnt |  w/ripup   wo/ripup |  w/r  wo/r |      arcs| batch(sec) total(sec)|
Info:         58 |        0         55 |    0    55 |         0|       0.03       0.03|
Info: Routing complete.
Info: Router1 time 0.03s
Info: Checksum: 0x2307dfec

Info: Critical path report for clock '$glbnet$clk$TRELLIS_IO_IN' (posedge -> posedge):
Info: curr total
Info:  0.5  0.5  Source Core.Immediate_generator.instruction_TRELLIS_FF_Q.Q
Info:  0.7  1.3    Net Core.instruction[10] (3,43) -> (2,43)
Info:                Sink Core.Alu.ALU_Result_LUT4_Z_1.C
Info:                Defined in:
Info:                  Pequeno-Risco-5/src/registers.v:7.22-7.35
Info:  0.2  1.5  Source Core.Alu.ALU_Result_LUT4_Z_1.F
Info:  3.6  5.1    Net Core.data_address[1] (2,43) -> (69,43)
Info:                Sink Core.registers.register15_TRELLIS_FF_Q_5.M
Info:                Defined in:
Info:                  Pequeno-Risco-5/src/registers.v:8.23-8.32
Info:  0.0  5.1  Setup Core.registers.register15_TRELLIS_FF_Q_5.M
Info: 0.8 ns logic, 4.3 ns routing

Info: Critical path report for cross-domain path '<async>' -> 'posedge $glbnet$clk$TRELLIS_IO_IN':
Info: curr total
Info:  0.0  0.0  Source reset$tr_io.O
Info:  4.4  4.4    Net reset$TRELLIS_IO_IN (0,29) -> (69,43)
Info:                Sink Core.registers.register15_TRELLIS_FF_Q_5.LSR
Info:                Defined in:
Info:                  Pequeno-Risco-5/fpga/ecp5/main.v:3.16-3.21
Info:  0.4  4.8  Setup Core.registers.register15_TRELLIS_FF_Q_5.LSR
Info: 0.4 ns logic, 4.4 ns routing

Info: Critical path report for cross-domain path 'posedge $glbnet$clk$TRELLIS_IO_IN' -> '<async>':
Info: curr total
Info:  0.5  0.5  Source Core.registers.register15_TRELLIS_FF_Q_4.Q
Info:  1.7  2.2    Net Core.registers.register15[3] (4,44) -> (9,33)
Info:                Sink led_LUT4_Z.D
Info:                Defined in:
Info:                  Pequeno-Risco-5/src/registers.v:29.12-29.22
Info:  0.2  2.4  Source led_LUT4_Z.F
Info:  4.6  7.1    Net led[3]$TRELLIS_IO_OUT (9,33) -> (90,29)
Info:                Sink led[3]$tr_io.I
Info:                Defined in:
Info:                  Pequeno-Risco-5/fpga/ecp5/main.v:6.22-6.25
Info: 0.8 ns logic, 6.3 ns routing

Info: Max frequency for clock '$glbnet$clk$TRELLIS_IO_IN': 196.58 MHz (PASS at 25.00 MHz)

Info: Max delay <async>                           -> posedge $glbnet$clk$TRELLIS_IO_IN: 4.80 ns
Info: Max delay posedge $glbnet$clk$TRELLIS_IO_IN -> <async>                          : 7.07 ns

Info: Slack histogram:
Info:  legend: * represents 1 endpoint(s)
Info:          + represents [1,1) endpoint(s)
Info: [ 34913,  35118) |** 
Info: [ 35118,  35323) | 
Info: [ 35323,  35528) | 
Info: [ 35528,  35733) |* 
Info: [ 35733,  35938) |* 
Info: [ 35938,  36143) | 
Info: [ 36143,  36348) | 
Info: [ 36348,  36553) | 
Info: [ 36553,  36758) |* 
Info: [ 36758,  36963) | 
Info: [ 36963,  37168) |* 
Info: [ 37168,  37373) |* 
Info: [ 37373,  37578) |* 
Info: [ 37578,  37783) |* 
Info: [ 37783,  37988) |** 
Info: [ 37988,  38193) | 
Info: [ 38193,  38398) |** 
Info: [ 38398,  38603) | 
Info: [ 38603,  38808) |** 
Info: [ 38808,  39013) |*** 

Info: Program finished normally.