Started by timer [Pipeline] Start of Pipeline [Pipeline] node Running on Jenkins in /var/lib/jenkins/workspace/riskow [Pipeline] { [Pipeline] stage [Pipeline] { (Git Clone) [Pipeline] sh + rm -rf riskow [Pipeline] sh + git clone --recursive https://github.com/racerxdl/riskow riskow Cloning into 'riskow'... [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Simulation) [Pipeline] dir Running in /var/lib/jenkins/workspace/riskow/riskow [Pipeline] { [Pipeline] sh + iverilog -o simulation.out -g2005 -s CPU cpu/alu.v cpu/comp.v cpu/cpu.v cpu/instruction_decoder.v cpu/program_counter.v cpu/register_bank.v [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (FPGA Build Pipeline) [Pipeline] parallel [Pipeline] { (Branch: colorlight_i9) [Pipeline] { (Branch: digilent_nexys4_ddr) [Pipeline] stage [Pipeline] { (colorlight_i9) [Pipeline] stage [Pipeline] { (digilent_nexys4_ddr) [Pipeline] lock Trying to acquire lock on [Resource: colorlight_i9] Resource [colorlight_i9] did not exist. Created. Lock acquired on [Resource: colorlight_i9] [Pipeline] { [Pipeline] lock Trying to acquire lock on [Resource: digilent_nexys4_ddr] Resource [digilent_nexys4_ddr] did not exist. Created. Lock acquired on [Resource: digilent_nexys4_ddr] [Pipeline] { [Pipeline] stage [Pipeline] { (Síntese e PnR) [Pipeline] stage [Pipeline] { (Síntese e PnR) [Pipeline] dir Running in /var/lib/jenkins/workspace/riskow/riskow [Pipeline] { [Pipeline] dir Running in /var/lib/jenkins/workspace/riskow/riskow [Pipeline] { [Pipeline] echo Iniciando síntese para FPGA colorlight_i9. [Pipeline] sh [Pipeline] echo Iniciando síntese para FPGA digilent_nexys4_ddr. + python3 /eda/processor-ci/main.py -c /eda/processor-ci/config.json -p riskow -b colorlight_i9 [Pipeline] sh + python3 /eda/processor-ci/main.py -c /eda/processor-ci/config.json -p riskow -b digilent_nexys4_ddr Arquivo de configura����o final gerado em /var/lib/jenkins/workspace/riskow/riskow/build_colorlight_i9.tcl Makefile executado com sucesso. Sa��da do Makefile: /eda/oss-cad-suite/bin/yosys -c /var/lib/jenkins/workspace/riskow/riskow/build_colorlight_i9.tcl -DID=0x6a6a6a6a -DCLOCK_FREQ=25000000 -DMEMORY_SIZE=4096 /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2024 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.45+139 (git sha1 4d581a97d, clang++ 14.0.0-1ubuntu1.1 -fPIC -O3) -- Running command `read -define ID=0x6a6a6a6a CLOCK_FREQ=25000000 MEMORY_SIZE=4096' -- 1. Executing Verilog-2005 frontend: /eda/processor-ci/rtl/riskow.v Parsing Verilog input from `/eda/processor-ci/rtl/riskow.v' to AST representation. Generating RTLIL representation for module `\processorci_top'. /eda/processor-ci/rtl/riskow.v:85: Warning: Identifier `\memory_read' is implicitly declared. /eda/processor-ci/rtl/riskow.v:86: Warning: Identifier `\memory_write' is implicitly declared. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: /var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v Parsing Verilog input from `/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v' to AST representation. Generating RTLIL representation for module `\ALU'. Successfully finished Verilog frontend. 3. Executing Verilog-2005 frontend: /var/lib/jenkins/workspace/riskow/riskow/cpu/comp.v Parsing Verilog input from `/var/lib/jenkins/workspace/riskow/riskow/cpu/comp.v' to AST representation. Generating RTLIL representation for module `\Comparator'. Successfully finished Verilog frontend. 4. Executing Verilog-2005 frontend: /var/lib/jenkins/workspace/riskow/riskow/cpu/cpu.v Parsing Verilog input from `/var/lib/jenkins/workspace/riskow/riskow/cpu/cpu.v' to AST representation. Generating RTLIL representation for module `\CPU'. Successfully finished Verilog frontend. 5. Executing Verilog-2005 frontend: /var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v Parsing Verilog input from `/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v' to AST representation. Generating RTLIL representation for module `\InstructionDecoder'. Successfully finished Verilog frontend. 6. Executing Verilog-2005 frontend: /var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v Parsing Verilog input from `/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v' to AST representation. Generating RTLIL representation for module `\ProgramCounter'. Successfully finished Verilog frontend. 7. Executing Verilog-2005 frontend: /var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v Parsing Verilog input from `/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v' to AST representation. Generating RTLIL representation for module `\RegisterBank'. Successfully finished Verilog frontend. 8. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/uart.v Parsing Verilog input from `/eda/processor-ci-controller/modules/uart.v' to AST representation. Generating RTLIL representation for module `\UART'. Successfully finished Verilog frontend. 9. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/UART/rtl/uart_rx.v Parsing Verilog input from `/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v' to AST representation. Generating RTLIL representation for module `\uart_rx'. Successfully finished Verilog frontend. 10. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/UART/rtl/uart_tx.v Parsing Verilog input from `/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v' to AST representation. Generating RTLIL representation for module `\uart_tx'. Successfully finished Verilog frontend. 11. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/fifo.v Parsing Verilog input from `/eda/processor-ci-controller/src/fifo.v' to AST representation. Generating RTLIL representation for module `\FIFO'. Successfully finished Verilog frontend. 12. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/reset.v Parsing Verilog input from `/eda/processor-ci-controller/src/reset.v' to AST representation. Generating RTLIL representation for module `\ResetBootSystem'. Successfully finished Verilog frontend. 13. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/clk_divider.v Parsing Verilog input from `/eda/processor-ci-controller/src/clk_divider.v' to AST representation. Generating RTLIL representation for module `\ClkDivider'. Successfully finished Verilog frontend. 14. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/memory.v Parsing Verilog input from `/eda/processor-ci-controller/src/memory.v' to AST representation. Generating RTLIL representation for module `\Memory'. Successfully finished Verilog frontend. 15. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/interpreter.v Parsing Verilog input from `/eda/processor-ci-controller/src/interpreter.v' to AST representation. Generating RTLIL representation for module `\Interpreter'. Successfully finished Verilog frontend. 16. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/controller.v Parsing Verilog input from `/eda/processor-ci-controller/src/controller.v' to AST representation. Generating RTLIL representation for module `\Controller'. Successfully finished Verilog frontend. 17. Executing SYNTH_ECP5 pass. 17.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v' to AST representation. Generating RTLIL representation for module `\LUT4'. Generating RTLIL representation for module `\$__ABC9_LUT5'. Generating RTLIL representation for module `\$__ABC9_LUT6'. Generating RTLIL representation for module `\$__ABC9_LUT7'. Generating RTLIL representation for module `\L6MUX21'. Generating RTLIL representation for module `\CCU2C'. Generating RTLIL representation for module `\TRELLIS_RAM16X2'. Generating RTLIL representation for module `\PFUMX'. Generating RTLIL representation for module `\TRELLIS_DPR16X4'. Generating RTLIL representation for module `\DPR16X4C'. Generating RTLIL representation for module `\LUT2'. Generating RTLIL representation for module `\TRELLIS_FF'. Generating RTLIL representation for module `\TRELLIS_IO'. Generating RTLIL representation for module `\INV'. Generating RTLIL representation for module `\TRELLIS_COMB'. Generating RTLIL representation for module `\DP16KD'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Successfully finished Verilog frontend. 17.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_bb.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_bb.v' to AST representation. Generating RTLIL representation for module `\MULT18X18D'. Generating RTLIL representation for module `\ALU54B'. Generating RTLIL representation for module `\EHXPLLL'. Generating RTLIL representation for module `\DTR'. Generating RTLIL representation for module `\OSCG'. Generating RTLIL representation for module `\USRMCLK'. Generating RTLIL representation for module `\JTAGG'. Generating RTLIL representation for module `\DELAYF'. Generating RTLIL representation for module `\DELAYG'. Generating RTLIL representation for module `\IDDRX1F'. Generating RTLIL representation for module `\IDDRX2F'. Generating RTLIL representation for module `\IDDR71B'. Generating RTLIL representation for module `\IDDRX2DQA'. Generating RTLIL representation for module `\ODDRX1F'. Generating RTLIL representation for module `\ODDRX2F'. Generating RTLIL representation for module `\ODDR71B'. Generating RTLIL representation for module `\OSHX2A'. Generating RTLIL representation for module `\ODDRX2DQA'. Generating RTLIL representation for module `\ODDRX2DQSB'. Generating RTLIL representation for module `\TSHX2DQA'. Generating RTLIL representation for module `\TSHX2DQSA'. Generating RTLIL representation for module `\DQSBUFM'. Generating RTLIL representation for module `\DDRDLLA'. Generating RTLIL representation for module `\DLLDELD'. Generating RTLIL representation for module `\CLKDIVF'. Generating RTLIL representation for module `\ECLKSYNCB'. Generating RTLIL representation for module `\ECLKBRIDGECS'. Generating RTLIL representation for module `\DCCA'. Generating RTLIL representation for module `\DCSC'. Generating RTLIL representation for module `\DCUA'. Generating RTLIL representation for module `\EXTREFB'. Generating RTLIL representation for module `\PCSCLKDIV'. Generating RTLIL representation for module `\PUR'. Generating RTLIL representation for module `\GSR'. Generating RTLIL representation for module `\SGSR'. Generating RTLIL representation for module `\PDPW16KD'. Successfully finished Verilog frontend. 17.3. Executing HIERARCHY pass (managing design hierarchy). 17.3.1. Analyzing design hierarchy.. Top module: \processorci_top Used module: \ResetBootSystem Used module: \CPU Used module: \InstructionDecoder Used module: \ALU Used module: \RegisterBank Used module: \ProgramCounter Used module: \Controller Used module: \Memory Used module: \UART Used module: \uart_tx Used module: \uart_rx Used module: \FIFO Used module: \Interpreter Used module: \ClkDivider Parameter \CYCLES = 20 17.3.2. Executing AST frontend in derive mode using pre-parsed AST for module `\ResetBootSystem'. Parameter \CYCLES = 20 Generating RTLIL representation for module `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \WORD_SIZE_BY = 4 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 17.3.3. Executing AST frontend in derive mode using pre-parsed AST for module `\Controller'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \WORD_SIZE_BY = 4 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Generating RTLIL representation for module `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 17.3.4. Executing AST frontend in derive mode using pre-parsed AST for module `\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Generating RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Found cached RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 9600 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 17.3.5. Executing AST frontend in derive mode using pre-parsed AST for module `\UART'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 9600 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 Generating RTLIL representation for module `$paramod$0ebcb351531b968e3a65ee57624639e797f21099\UART'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 12 Parameter \BUS_WIDTH = 32 Parameter \ID = 1 Parameter \RESET_CLK_CYCLES = 20 17.3.6. Executing AST frontend in derive mode using pre-parsed AST for module `\Interpreter'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 12 Parameter \BUS_WIDTH = 32 Parameter \ID = 1 Parameter \RESET_CLK_CYCLES = 20 Generating RTLIL representation for module `$paramod$6cc68bce6e5ef8358e4f854f8e1607a73728e8ac\Interpreter'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 12 17.3.7. Executing AST frontend in derive mode using pre-parsed AST for module `\ClkDivider'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 12 Generating RTLIL representation for module `$paramod$d236a3555e5fe48231d3a0bdd0f1031eebbe67d5\ClkDivider'. Parameter \EXCEPTION_HANDLING = 1 17.3.8. Executing AST frontend in derive mode using pre-parsed AST for module `\InstructionDecoder'. Parameter \EXCEPTION_HANDLING = 1 Generating RTLIL representation for module `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 17.3.9. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 17.3.10. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 17.3.11. Executing AST frontend in derive mode using pre-parsed AST for module `\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Generating RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. 17.3.12. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: \CPU Used module: $paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001 Used module: \ALU Used module: \RegisterBank Used module: \ProgramCounter Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: \Memory Used module: \UART Used module: $paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx Used module: $paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: \Interpreter Used module: \ClkDivider Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Found cached RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Found cached RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 17.3.13. Executing AST frontend in derive mode using pre-parsed AST for module `\UART'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 Generating RTLIL representation for module `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 17.3.14. Executing AST frontend in derive mode using pre-parsed AST for module `\Interpreter'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 Generating RTLIL representation for module `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 32 17.3.15. Executing AST frontend in derive mode using pre-parsed AST for module `\ClkDivider'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 32 Generating RTLIL representation for module `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider'. 17.3.16. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: \CPU Used module: $paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001 Used module: \ALU Used module: \RegisterBank Used module: \ProgramCounter Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory Used module: $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART Used module: \uart_tx Used module: \uart_rx Used module: \FIFO Used module: $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 17.3.17. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 17.3.18. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. 17.3.19. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: \CPU Used module: $paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001 Used module: \ALU Used module: \RegisterBank Used module: \ProgramCounter Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory Used module: $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider 17.3.20. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: \CPU Used module: $paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001 Used module: \ALU Used module: \RegisterBank Used module: \ProgramCounter Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory Used module: $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider Removing unused module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx'. Removing unused module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx'. Removing unused module `$paramod$d236a3555e5fe48231d3a0bdd0f1031eebbe67d5\ClkDivider'. Removing unused module `$paramod$6cc68bce6e5ef8358e4f854f8e1607a73728e8ac\Interpreter'. Removing unused module `$paramod$0ebcb351531b968e3a65ee57624639e797f21099\UART'. Removing unused module `\Controller'. Removing unused module `\Interpreter'. Removing unused module `\Memory'. Removing unused module `\ClkDivider'. Removing unused module `\ResetBootSystem'. Removing unused module `\FIFO'. Removing unused module `\uart_tx'. Removing unused module `\uart_rx'. Removing unused module `\UART'. Removing unused module `\InstructionDecoder'. Removing unused module `\Comparator'. Removed 16 unused modules. Mapping positional arguments of cell CPU.alu (ALU). Mapping positional arguments of cell CPU.PC (ProgramCounter). 17.4. Executing PROC pass (convert processes to netlists). 17.4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$587'. Found and cleaned up 1 empty switch in `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:0$769'. Removing empty process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:0$769'. Cleaned up 2 empty switches. 17.4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$694 in module TRELLIS_FF. Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$646 in module DPR16X4C. Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$588 in module TRELLIS_DPR16X4. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/fifo.v:36$1027 in module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/fifo.v:25$1019 in module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1220 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1218 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1210 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1207 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1201 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1196 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1191 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1182 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1169 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1167 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1159 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1145 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1139 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1134 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 26 switch rules as full_case in process $proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847 in module $paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/clk_divider.v:57$1121 in module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/clk_divider.v:36$1112 in module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Marked 15 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/interpreter.v:116$1076 in module $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter. Removed 1 dead cases from process $proc$/eda/processor-ci-controller/modules/uart.v:203$1068 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:203$1068 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:187$1063 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:132$1058 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:74$1053 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/memory.v:36$758 in module $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/controller.v:113$747 in module $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller. Marked 2 switch rules as full_case in process $proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:27$126 in module RegisterBank. Marked 2 switch rules as full_case in process $proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:13$103 in module ProgramCounter. Marked 5 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/reset.v:25$697 in module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Marked 1 switch rules as full_case in process $proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:27$2 in module ALU. Removed a total of 1 dead cases. 17.4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 4 redundant assignments. Promoted 134 assignments to connections. 17.4.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$695'. Set init value: \Q = 1'0 Found init rule in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:0$1052'. Set init value: \read_ptr = 6'000000 Set init value: \write_ptr = 6'000000 Found init rule in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1222'. Set init value: \i = 0 Found init rule in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1175'. Set init value: \i = 0 Found init rule in `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:0$922'. Set init value: \busWriteEnable = 1'0 Set init value: \address = 0 Set init value: \dataOut = 0 Set init value: \busValid = 1'0 Set init value: \busInstr = 1'0 Set init value: \csrNumber = 12'000000000000 Set init value: \csrWriteEnable = 1'0 Set init value: \instructionsExecuted = 64'0000000000000000000000000000000000000000000000000000000000000000 Set init value: \pcDataIn = 0 Set init value: \pcWriteEnable = 1'0 Set init value: \pcWriteAdd = 1'0 Set init value: \pcCountEnable = 1'0 Set init value: \aluOp = 4'0000 Set init value: \aluX = 0 Set init value: \aluY = 0 Set init value: \regNumA = 4'0000 Set init value: \regNumB = 4'0000 Set init value: \wRegDataIn = 0 Set init value: \wRegRegNum = 4'0000 Set init value: \wRegWriteEnable = 1'0 Set init value: \currentState = 4'0100 Set init value: \rs1 = 5'00000 Set init value: \rs2 = 5'00000 Set init value: \rd = 5'00000 Set init value: \imm = 0 Set init value: \opcode = 7'0110011 Set init value: \funct3 = 3'000 Set init value: \funct7 = 7'0000000 Set init value: \tmpInstruction = 0 Found init rule in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:0$1127'. Set init value: \clk_o_auto = 1'0 Set init value: \clk_counter = 0 Set init value: \pulse_counter = 0 Found init rule in `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:0$1105'. Set init value: \state = 8'00000000 Set init value: \counter = 8'00000000 Set init value: \read_buffer = 0 Set init value: \timeout = 0 Set init value: \accumulator = 64'0000000000000000000000000000000000000000000000000000000000000000 Found init rule in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:0$1075'. Set init value: \read_response = 1'0 Set init value: \write_response = 1'0 Set init value: \read_data = 0 Set init value: \uart_tx_en = 1'0 Set init value: \tx_fifo_read = 1'0 Set init value: \tx_fifo_write = 1'0 Set init value: \rx_fifo_read = 1'0 Set init value: \rx_fifo_write = 1'0 Set init value: \uart_tx_data = 8'00000000 Set init value: \tx_fifo_write_data = 8'00000000 Set init value: \rx_fifo_write_data = 8'00000000 Set init value: \counter_write = 3'000 Set init value: \counter_read = 3'000 Set init value: \state_read = 4'0000 Set init value: \state_write = 4'0000 Found init rule in `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:0$704'. Set init value: \reset_o = 1'0 Set init value: \state = 2'01 Set init value: \counter = 6'000000 17.4.5. Executing PROC_ARST pass (detect async resets in processes). 17.4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 17.4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$695'. Creating decoders for process `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$694'. 1/1: $0\Q[0:0] Creating decoders for process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. Creating decoders for process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$646'. 1/3: $1$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$645_EN[3:0]$652 2/3: $1$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$645_DATA[3:0]$651 3/3: $1$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$645_ADDR[3:0]$650 Creating decoders for process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. Creating decoders for process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$588'. 1/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$586_EN[3:0]$594 2/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$586_DATA[3:0]$593 3/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$586_ADDR[3:0]$592 Creating decoders for process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$587'. Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:0$1052'. Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$1027'. 1/7: $2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1039 2/7: $2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_DATA[7:0]$1038 3/7: $2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_ADDR[5:0]$1037 4/7: $1$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1033 5/7: $1$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_DATA[7:0]$1032 6/7: $1$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_ADDR[5:0]$1031 7/7: $0\write_ptr[5:0] Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$1019'. 1/2: $0\read_ptr[5:0] 2/2: $0\read_data[7:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1222'. Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1220'. 1/2: $0\rxd_reg_0[0:0] 2/2: $0\rxd_reg[0:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1218'. 1/1: $0\fsm_state[2:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1210'. 1/1: $0\cycle_counter[8:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1207'. 1/1: $0\bit_sample[0:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1201'. 1/1: $0\bit_counter[3:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1196'. 1/11: $3\i[31:0] 2/11: $0\recieved_data[7:0] [1] 3/11: $0\recieved_data[7:0] [0] 4/11: $0\recieved_data[7:0] [2] 5/11: $0\recieved_data[7:0] [3] 6/11: $0\recieved_data[7:0] [4] 7/11: $0\recieved_data[7:0] [5] 8/11: $0\recieved_data[7:0] [6] 9/11: $0\recieved_data[7:0] [7] 10/11: $1\i[31:0] 11/11: $2\i[31:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1191'. 1/1: $1\n_fsm_state[2:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1182'. 1/1: $0\uart_rx_data[7:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1175'. Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1169'. 1/1: $0\txd_reg[0:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1167'. 1/1: $0\fsm_state[2:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1159'. 1/1: $0\cycle_counter[8:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1145'. 1/1: $0\bit_counter[3:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1139'. 1/11: $3\i[31:0] 2/11: $0\data_to_send[7:0] [1] 3/11: $0\data_to_send[7:0] [0] 4/11: $0\data_to_send[7:0] [2] 5/11: $0\data_to_send[7:0] [3] 6/11: $0\data_to_send[7:0] [4] 7/11: $0\data_to_send[7:0] [5] 8/11: $0\data_to_send[7:0] [6] 9/11: $0\data_to_send[7:0] [7] 10/11: $1\i[31:0] 11/11: $2\i[31:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1134'. 1/1: $1\n_fsm_state[2:0] Creating decoders for process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:0$922'. Creating decoders for process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. 1/30: $0\tmpInstruction[31:0] 2/30: $0\funct7[6:0] 3/30: $0\funct3[2:0] 4/30: $0\opcode[6:0] 5/30: $0\imm[31:0] 6/30: $0\rd[4:0] 7/30: $0\rs2[4:0] 8/30: $0\rs1[4:0] 9/30: $0\currentState[3:0] 10/30: $0\wRegWriteEnable[0:0] 11/30: $0\wRegRegNum[3:0] 12/30: $0\wRegDataIn[31:0] 13/30: $0\regNumB[3:0] 14/30: $0\regNumA[3:0] 15/30: $0\aluY[31:0] 16/30: $0\aluX[31:0] 17/30: $0\aluOp[3:0] 18/30: $0\pcCountEnable[0:0] 19/30: $0\pcWriteAdd[0:0] 20/30: $0\pcWriteEnable[0:0] 21/30: $0\pcDataIn[31:0] 22/30: $0\instructionsExecuted[63:0] 23/30: $0\csrWriteEnable[0:0] 24/30: $0\csrNumber[11:0] 25/30: $0\csrDataOut[31:0] 26/30: $0\busInstr[0:0] 27/30: $0\busValid[0:0] 28/30: $0\dataOut[31:0] 29/30: $0\address[31:0] 30/30: $0\busWriteEnable[0:0] Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:0$1127'. Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1121'. 1/1: $0\pulse_counter[31:0] Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1112'. 1/2: $0\clk_counter[31:0] 2/2: $0\clk_o_auto[0:0] Creating decoders for process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:0$1105'. Creating decoders for process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. 1/28: $0\state[7:0] 2/28: $0\reset_bus[0:0] 3/28: $0\memory_write[0:0] 4/28: $0\memory_read[0:0] 5/28: $0\write_pulse[0:0] 6/28: $0\core_reset[0:0] 7/28: $0\communication_write[0:0] 8/28: $0\communication_read[0:0] 9/28: $0\temp_buffer[63:0] 10/28: $0\accumulator[63:0] 11/28: $0\timeout_counter[31:0] 12/28: $0\timeout[31:0] 13/28: $0\read_buffer[31:0] 14/28: $0\communication_buffer[31:0] 15/28: $0\num_of_positions[23:0] 16/28: $0\num_of_pages[23:0] 17/28: $0\return_state[7:0] 18/28: $0\memory_page_number[23:0] 19/28: $0\memory_mux_selector[0:0] 20/28: $0\end_position[31:0] 21/28: $0\memory_page_size[23:0] 22/28: $0\bus_mode[0:0] 23/28: $0\num_of_cycles_to_pulse[31:0] 24/28: $0\core_clk_enable[0:0] 25/28: $0\communication_write_data[31:0] 26/28: $0\counter[7:0] 27/28: $0\write_data[31:0] 28/28: $0\address[31:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:0$1075'. Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1068'. 1/4: $0\tx_fifo_read[0:0] 2/4: $0\uart_tx_en[0:0] 3/4: $0\tx_fifo_read_state[1:0] 4/4: $0\uart_tx_data[7:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$1063'. 1/2: $0\rx_fifo_write[0:0] 2/2: $0\rx_fifo_write_data[7:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. 1/6: $0\tx_fifo_write[0:0] 2/6: $0\write_response[0:0] 3/6: $0\state_write[3:0] 4/6: $0\counter_write[2:0] 5/6: $0\write_data_buffer[31:0] 6/6: $0\tx_fifo_write_data[7:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. 1/5: $0\read_response[0:0] 2/5: $0\rx_fifo_read[0:0] 3/5: $0\state_read[3:0] 4/5: $0\counter_read[2:0] 5/5: $0\read_data[31:0] Creating decoders for process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$768'. Creating decoders for process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$758'. 1/4: $1$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$767 2/4: $1$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_DATA[31:0]$766 3/4: $1$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_ADDR[31:0]$765 4/4: $0\read_sync[31:0] Creating decoders for process `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$747'. 1/1: $0\finish_execution[0:0] Creating decoders for process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. Creating decoders for process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:27$126'. 1/6: $2$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$136 2/6: $2$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_DATA[31:0]$135 3/6: $2$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_ADDR[3:0]$134 4/6: $1$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$133 5/6: $1$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_DATA[31:0]$132 6/6: $1$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_ADDR[3:0]$131 Creating decoders for process `\ProgramCounter.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:13$103'. 1/1: $0\programCounter[31:0] Creating decoders for process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:0$704'. Creating decoders for process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$697'. 1/3: $0\counter[5:0] 2/3: $0\state[1:0] 3/3: $0\reset_o[0:0] Creating decoders for process `\ALU.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:27$2'. 1/1: $1\result[31:0] 17.4.8. Executing PROC_DLATCH pass (convert process syncs to latches). No latch inferred for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\n_fsm_state' from process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1191'. No latch inferred for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\n_fsm_state' from process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1134'. No latch inferred for signal `\RegisterBank.\i' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$109_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$110_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$111_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$112_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$113_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$114_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$115_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$116_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$117_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$118_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$119_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$120_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$121_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$122_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$123_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. No latch inferred for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$124_EN' from process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. Latch inferred for signal `\ALU.\result' from process `\ALU.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:27$2': $auto$proc_dlatch.cc:433:proc_dlatch$3865 17.4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\TRELLIS_FF.\Q' using process `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$694'. created $dff cell `$procdff$3960' with positive edge clock. Creating register for signal `\DPR16X4C.\i' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$630_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$631_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$632_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$633_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$634_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$635_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$636_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$637_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$638_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$639_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$640_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$641_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$642_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$643_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$644_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$645_ADDR' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$646'. created $dff cell `$procdff$3961' with positive edge clock. Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$645_DATA' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$646'. created $dff cell `$procdff$3962' with positive edge clock. Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$645_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$646'. created $dff cell `$procdff$3963' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.\i' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$570_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$571_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$572_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$573_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$574_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$575_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$576_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$577_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$578_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$579_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$580_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$581_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$582_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$583_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$584_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$585_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$586_ADDR' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$588'. created $dff cell `$procdff$3964' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$586_DATA' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$588'. created $dff cell `$procdff$3965' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$586_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$588'. created $dff cell `$procdff$3966' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.\muxwre' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$587'. created direct connection (no actual register cell created). Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\write_ptr' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$1027'. created $dff cell `$procdff$3967' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_ADDR' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$1027'. created $dff cell `$procdff$3968' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_DATA' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$1027'. created $dff cell `$procdff$3969' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$1027'. created $dff cell `$procdff$3970' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\read_data' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$1019'. created $dff cell `$procdff$3971' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\read_ptr' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$1019'. created $dff cell `$procdff$3972' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\rxd_reg' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1220'. created $dff cell `$procdff$3973' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\rxd_reg_0' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1220'. created $dff cell `$procdff$3974' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\fsm_state' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1218'. created $dff cell `$procdff$3975' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\cycle_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1210'. created $dff cell `$procdff$3976' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\bit_sample' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1207'. created $dff cell `$procdff$3977' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\bit_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1201'. created $dff cell `$procdff$3978' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\i' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1196'. created $dff cell `$procdff$3979' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\recieved_data' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1196'. created $dff cell `$procdff$3980' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\uart_rx_data' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1182'. created $dff cell `$procdff$3981' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\txd_reg' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1169'. created $dff cell `$procdff$3982' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\fsm_state' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1167'. created $dff cell `$procdff$3983' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\cycle_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1159'. created $dff cell `$procdff$3984' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\bit_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1145'. created $dff cell `$procdff$3985' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\i' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1139'. created $dff cell `$procdff$3986' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\data_to_send' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1139'. created $dff cell `$procdff$3987' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\busWriteEnable' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3988' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\address' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3989' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\dataOut' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3990' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\busValid' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3991' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\busInstr' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3992' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\csrDataOut' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3993' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\csrNumber' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3994' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\csrWriteEnable' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3995' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\instructionsExecuted' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3996' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\pcDataIn' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3997' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\pcWriteEnable' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3998' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\pcWriteAdd' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$3999' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\pcCountEnable' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4000' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\aluOp' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4001' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\aluX' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4002' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\aluY' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4003' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\regNumA' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4004' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\regNumB' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4005' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\wRegDataIn' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4006' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\wRegRegNum' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4007' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\wRegWriteEnable' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4008' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\currentState' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4009' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\rs1' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4010' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\rs2' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4011' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\rd' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4012' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\imm' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4013' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\opcode' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4014' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\funct3' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4015' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\funct7' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4016' with positive edge clock. Creating register for signal `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.\tmpInstruction' using process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. created $dff cell `$procdff$4017' with positive edge clock. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\pulse_counter' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1121'. created $dff cell `$procdff$4018' with positive edge clock. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\clk_o_auto' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1112'. created $dff cell `$procdff$4019' with positive edge clock. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\clk_counter' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1112'. created $dff cell `$procdff$4020' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\address' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4021' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_read' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4022' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_write' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4023' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\write_data' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4024' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\state' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4025' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\counter' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4026' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\write_pulse' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4027' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_read' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4028' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_write' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4029' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_write_data' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4030' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\core_clk_enable' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4031' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\core_reset' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4032' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\num_of_cycles_to_pulse' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4033' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\reset_bus' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4034' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\bus_mode' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4035' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_page_size' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4036' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\end_position' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4037' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_mux_selector' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4038' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_page_number' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4039' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\return_state' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4040' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\num_of_pages' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4041' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\num_of_positions' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4042' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_buffer' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4043' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\read_buffer' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4044' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\timeout' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4045' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\timeout_counter' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4046' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\accumulator' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4047' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\temp_buffer' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. created $dff cell `$procdff$4048' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\uart_tx_en' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1068'. created $dff cell `$procdff$4049' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1068'. created $dff cell `$procdff$4050' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\uart_tx_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1068'. created $dff cell `$procdff$4051' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_read_state' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1068'. created $dff cell `$procdff$4052' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\rx_fifo_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$1063'. created $dff cell `$procdff$4053' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\rx_fifo_write_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$1063'. created $dff cell `$procdff$4054' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\write_response' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. created $dff cell `$procdff$4055' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. created $dff cell `$procdff$4056' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_write_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. created $dff cell `$procdff$4057' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\write_data_buffer' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. created $dff cell `$procdff$4058' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\counter_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. created $dff cell `$procdff$4059' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\state_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. created $dff cell `$procdff$4060' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\read_response' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. created $dff cell `$procdff$4061' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\read_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. created $dff cell `$procdff$4062' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\rx_fifo_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. created $dff cell `$procdff$4063' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\counter_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. created $dff cell `$procdff$4064' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\state_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. created $dff cell `$procdff$4065' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.\sync_write_response' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$768'. created $dff cell `$procdff$4066' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.\sync_read_response' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$768'. created $dff cell `$procdff$4067' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.\read_sync' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$758'. created $dff cell `$procdff$4068' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_ADDR' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$758'. created $dff cell `$procdff$4069' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_DATA' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$758'. created $dff cell `$procdff$4070' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$758'. created $dff cell `$procdff$4071' with positive edge clock. Creating register for signal `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.\finish_execution' using process `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$747'. created $dff cell `$procdff$4072' with positive edge clock. Creating register for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_ADDR' using process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:27$126'. created $dff cell `$procdff$4073' with positive edge clock. Creating register for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_DATA' using process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:27$126'. created $dff cell `$procdff$4074' with positive edge clock. Creating register for signal `\RegisterBank.$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN' using process `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:27$126'. created $dff cell `$procdff$4075' with positive edge clock. Creating register for signal `\ProgramCounter.\programCounter' using process `\ProgramCounter.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:13$103'. created $dff cell `$procdff$4076' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\reset_o' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$697'. created $dff cell `$procdff$4077' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\state' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$697'. created $dff cell `$procdff$4078' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\counter' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$697'. created $dff cell `$procdff$4079' with positive edge clock. 17.4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 17.4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$695'. Found and cleaned up 2 empty switches in `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$694'. Removing empty process `TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$694'. Removing empty process `DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$669'. Found and cleaned up 1 empty switch in `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$646'. Removing empty process `TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$612'. Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$588'. Removing empty process `TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$587'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:0$1052'. Found and cleaned up 2 empty switches in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$1027'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$1027'. Found and cleaned up 2 empty switches in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$1019'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$1019'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1222'. Found and cleaned up 2 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1220'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1220'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1218'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1218'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1210'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1210'. Found and cleaned up 2 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1207'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1207'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1201'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1201'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1196'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1196'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1191'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1191'. Found and cleaned up 2 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1182'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1182'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1175'. Found and cleaned up 5 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1169'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1169'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1167'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1167'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1159'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1159'. Found and cleaned up 5 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1145'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1145'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1139'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1139'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1134'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1134'. Removing empty process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:0$922'. Found and cleaned up 49 empty switches in `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. Removing empty process `$paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:145$847'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:0$1127'. Found and cleaned up 4 empty switches in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1121'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1121'. Found and cleaned up 4 empty switches in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1112'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1112'. Removing empty process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:0$1105'. Found and cleaned up 15 empty switches in `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. Removing empty process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1076'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:0$1075'. Found and cleaned up 3 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1068'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1068'. Found and cleaned up 2 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$1063'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$1063'. Found and cleaned up 5 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$1058'. Found and cleaned up 5 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$1053'. Removing empty process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$768'. Found and cleaned up 2 empty switches in `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$758'. Removing empty process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$758'. Found and cleaned up 4 empty switches in `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$747'. Removing empty process `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$747'. Removing empty process `RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:0$173'. Found and cleaned up 2 empty switches in `\RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:27$126'. Removing empty process `RegisterBank.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:27$126'. Found and cleaned up 3 empty switches in `\ProgramCounter.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:13$103'. Removing empty process `ProgramCounter.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:13$103'. Removing empty process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:0$704'. Found and cleaned up 6 empty switches in `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$697'. Removing empty process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$697'. Found and cleaned up 1 empty switch in `\ALU.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:27$2'. Removing empty process `ALU.$proc$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:27$2'. Cleaned up 148 empty switches. 17.4.12. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Optimizing module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Optimizing module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Optimizing module $paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001. Optimizing module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Optimizing module $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter. Optimizing module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Optimizing module $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory. Optimizing module $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller. Optimizing module RegisterBank. Optimizing module ProgramCounter. Optimizing module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Optimizing module CPU. Optimizing module ALU. Optimizing module processorci_top. 17.5. Executing FLATTEN pass (flatten design). Deleting now unused module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Deleting now unused module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Deleting now unused module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Deleting now unused module $paramod\InstructionDecoder\EXCEPTION_HANDLING=s32'00000000000000000000000000000001. Deleting now unused module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Deleting now unused module $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter. Deleting now unused module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Deleting now unused module $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory. Deleting now unused module $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller. Deleting now unused module RegisterBank. Deleting now unused module ProgramCounter. Deleting now unused module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Deleting now unused module CPU. Deleting now unused module ALU. 17.6. Executing TRIBUF pass. 17.7. Executing DEMINOUT pass (demote inout ports to input or output). 17.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 207 unused cells and 1183 unused wires. 17.10. Executing CHECK pass (checking for obvious problems). Checking module processorci_top... Warning: Wire processorci_top.\miso is used but has no driver. Warning: Wire processorci_top.\intr is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [31] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [30] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [29] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [28] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [27] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [26] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [25] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [24] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [23] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [22] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [21] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [20] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [19] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [18] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [17] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [16] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [15] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [14] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [13] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [12] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [11] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [10] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [9] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [8] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [7] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [6] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [5] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [4] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [3] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [2] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [1] is used but has no driver. Warning: Wire processorci_top.\Riskow.ins.csrDataIn [0] is used but has no driver. Warning: Wire processorci_top.\ResetBootSystem.start is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [31] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [30] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [29] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [28] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [27] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [26] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [25] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [24] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [23] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [22] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [21] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [20] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [19] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [18] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [17] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [16] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [15] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [14] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [13] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [12] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [11] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [10] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [9] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [8] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [7] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [6] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [5] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [4] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [3] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [2] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [1] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_data_memory_data [0] is used but has no driver. Warning: Wire processorci_top.\Controller.core_write_memory_data is used but has no driver. Warning: Wire processorci_top.\Controller.core_read_memory_data is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [31] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [30] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [29] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [28] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [27] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [26] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [25] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [24] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [23] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [22] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [21] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [20] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [19] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [18] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [17] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [16] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [15] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [14] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [13] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [12] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [11] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [10] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [9] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [8] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [7] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [6] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [5] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [4] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [3] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [2] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [1] is used but has no driver. Warning: Wire processorci_top.\Controller.core_address_memory_data [0] is used but has no driver. Found and reported 101 problems. 17.11. Executing OPT pass (performing simple optimizations). 17.11.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 306 cells. 17.11.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 1/2 on $mux $flatten\Controller.\Uart.\RX_FIFO.$procmux$1250. dead port 1/2 on $mux $flatten\Controller.\Uart.\RX_FIFO.$procmux$1256. dead port 1/2 on $mux $flatten\Controller.\Uart.\RX_FIFO.$procmux$1262. dead port 1/2 on $mux $flatten\Controller.\Uart.\TX_FIFO.$procmux$1250. dead port 1/2 on $mux $flatten\Controller.\Uart.\TX_FIFO.$procmux$1256. dead port 1/2 on $mux $flatten\Controller.\Uart.\TX_FIFO.$procmux$1262. dead port 1/2 on $mux $flatten\Riskow.\registers.$procmux$3777. dead port 1/2 on $mux $flatten\Riskow.\registers.$procmux$3783. dead port 1/2 on $mux $flatten\Riskow.\registers.$procmux$3789. Removed 9 multiplexer ports. 17.11.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Controller.\Memory.$procmux$3753: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] New connections: $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [31:1] = { $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] } New ctrl vector for $pmux cell $flatten\Controller.\Uart.$procmux$3621: $auto$opt_reduce.cc:134:opt_pmux$4100 New ctrl vector for $pmux cell $flatten\Controller.\Uart.$procmux$3685: $auto$opt_reduce.cc:134:opt_pmux$4102 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247: Old ports: A=8'00000000, B=8'11111111, Y=$flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] New connections: $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [7:1] = { $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0] } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3525: { $flatten\Controller.\Interpreter.$procmux$2970_CMP $flatten\Controller.\Interpreter.$procmux$2969_CMP $auto$opt_reduce.cc:134:opt_pmux$4104 } Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247: Old ports: A=8'00000000, B=8'11111111, Y=$flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] New connections: $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [7:1] = { $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0] } New ctrl vector for $pmux cell $flatten\Riskow.\alu.$procmux$3849: { $flatten\Riskow.\alu.$procmux$3863_CMP $flatten\Riskow.\alu.$procmux$3862_CMP $flatten\Riskow.\alu.$procmux$3861_CMP $flatten\Riskow.\alu.$procmux$3860_CMP $flatten\Riskow.\alu.$procmux$3859_CMP $flatten\Riskow.\alu.$procmux$3858_CMP $flatten\Riskow.\alu.$procmux$3857_CMP $flatten\Riskow.\alu.$procmux$3856_CMP $flatten\Riskow.\alu.$procmux$3855_CMP $flatten\Riskow.\alu.$procmux$3854_CMP $flatten\Riskow.\alu.$procmux$3853_CMP $flatten\Riskow.\alu.$procmux$3852_CMP $flatten\Riskow.\alu.$procmux$3851_CMP $flatten\Riskow.\alu.$procmux$3850_CMP } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2843: { $flatten\Controller.\Interpreter.$procmux$2937_CMP $flatten\Controller.\Interpreter.$procmux$2933_CMP $flatten\Controller.\Interpreter.$procmux$2929_CMP $flatten\Controller.\Interpreter.$procmux$2903_CMP $flatten\Controller.\Interpreter.$procmux$2902_CMP $flatten\Controller.\Interpreter.$procmux$2898_CMP $flatten\Controller.\Interpreter.$procmux$2897_CMP $flatten\Controller.\Interpreter.$procmux$2893_CMP $flatten\Controller.\Interpreter.$procmux$2883_CMP $flatten\Controller.\Interpreter.$procmux$2879_CMP $auto$opt_reduce.cc:134:opt_pmux$4112 $flatten\Controller.\Interpreter.$procmux$2874_CMP $flatten\Controller.\Interpreter.$procmux$2873_CMP $auto$opt_reduce.cc:134:opt_pmux$4110 $flatten\Controller.\Interpreter.$procmux$2868_CMP $flatten\Controller.\Interpreter.$procmux$2867_CMP $flatten\Controller.\Interpreter.$procmux$2862_CMP $flatten\Controller.\Interpreter.$procmux$2858_CMP $flatten\Controller.\Interpreter.$procmux$2857_CMP $auto$opt_reduce.cc:134:opt_pmux$4108 $flatten\Controller.\Interpreter.$procmux$2851_CMP $flatten\Controller.\Interpreter.$procmux$2850_CMP $flatten\Controller.\Interpreter.$procmux$2849_CMP $auto$opt_reduce.cc:134:opt_pmux$4106 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2943: $auto$opt_reduce.cc:134:opt_pmux$4114 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2967: $auto$opt_reduce.cc:134:opt_pmux$4116 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2989: $auto$opt_reduce.cc:134:opt_pmux$4118 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3000: $auto$opt_reduce.cc:134:opt_pmux$4120 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3048: $auto$opt_reduce.cc:134:opt_pmux$4122 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3090: $auto$opt_reduce.cc:134:opt_pmux$4124 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3115: { $flatten\Controller.\Interpreter.$procmux$2883_CMP $auto$opt_reduce.cc:134:opt_pmux$4126 $flatten\Controller.\Interpreter.$procmux$2873_CMP } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3168: { $auto$opt_reduce.cc:134:opt_pmux$4130 $auto$opt_reduce.cc:134:opt_pmux$4128 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3237: $auto$opt_reduce.cc:134:opt_pmux$4132 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3262: { $flatten\Controller.\Interpreter.$procmux$2883_CMP $auto$opt_reduce.cc:134:opt_pmux$4134 $flatten\Controller.\Interpreter.$procmux$2873_CMP } Consolidated identical input bits for $mux cell $flatten\Controller.\Data_Memory.$procmux$3753: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] New connections: $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [31:1] = { $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_EN[31:0]$761 [0] } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3290: { $flatten\Controller.\Interpreter.$procmux$2869_CMP $flatten\Controller.\Interpreter.$procmux$2862_CMP $flatten\Controller.\Interpreter.$procmux$2851_CMP $flatten\Controller.\Interpreter.$procmux$2845_CMP $auto$opt_reduce.cc:134:opt_pmux$4136 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3322: { $auto$opt_reduce.cc:134:opt_pmux$4140 $auto$opt_reduce.cc:134:opt_pmux$4138 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3404: { $flatten\Controller.\Interpreter.$procmux$2863_CMP $auto$opt_reduce.cc:134:opt_pmux$4142 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3415: { $flatten\Controller.\Interpreter.$procmux$3004_CMP $flatten\Controller.\Interpreter.$procmux$2903_CMP $auto$opt_reduce.cc:134:opt_pmux$4144 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3425: { $flatten\Controller.\Interpreter.$procmux$2902_CMP $auto$opt_reduce.cc:134:opt_pmux$4148 $auto$opt_reduce.cc:134:opt_pmux$4146 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3501: { $auto$opt_reduce.cc:134:opt_pmux$4150 $flatten\Controller.\Interpreter.$procmux$2902_CMP } Consolidated identical input bits for $mux cell $flatten\Riskow.\registers.$procmux$3775: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Riskow.\registers.$procmux$3775_Y New ports: A=1'0, B=1'1, Y=$flatten\Riskow.\registers.$procmux$3775_Y [0] New connections: $flatten\Riskow.\registers.$procmux$3775_Y [31:1] = { $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] $flatten\Riskow.\registers.$procmux$3775_Y [0] } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3551: { $auto$opt_reduce.cc:134:opt_pmux$4152 $flatten\Controller.\Interpreter.$procmux$2969_CMP $flatten\Controller.\Interpreter.$procmux$2888_CMP $flatten\Controller.\Interpreter.$procmux$2883_CMP $flatten\Controller.\Interpreter.$procmux$2873_CMP } Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\RX_FIFO.$procmux$1265: Old ports: A=$flatten\Controller.\Uart.\RX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1039, B=8'00000000, Y=$flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 New ports: A=$flatten\Controller.\Uart.\RX_FIFO.$procmux$1247_Y [0], B=1'0, Y=$flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] New connections: $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [7:1] = { $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] } Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\TX_FIFO.$procmux$1265: Old ports: A=$flatten\Controller.\Uart.\TX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1039, B=8'00000000, Y=$flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 New ports: A=$flatten\Controller.\Uart.\TX_FIFO.$procmux$1247_Y [0], B=1'0, Y=$flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] New connections: $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [7:1] = { $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_EN[7:0]$1030 [0] } Consolidated identical input bits for $mux cell $flatten\Riskow.\registers.$procmux$3792: Old ports: A=$flatten\Riskow.\registers.$2$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$136, B=0, Y=$flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 New ports: A=$flatten\Riskow.\registers.$procmux$3775_Y [0], B=1'0, Y=$flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] New connections: $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [31:1] = { $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] $flatten\Riskow.\registers.$0$memwr$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$125_EN[31:0]$129 [0] } Optimizing cells in module \processorci_top. Performed a total of 30 changes. 17.11.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 51 cells. 17.11.6. Executing OPT_DFF pass (perform DFF optimizations). 17.11.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 376 unused wires. 17.11.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.11.9. Rerunning OPT passes. (Maybe there is more to do..) 17.11.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.11.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3115: { $auto$opt_reduce.cc:134:opt_pmux$4126 $auto$opt_reduce.cc:134:opt_pmux$4154 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3262: { $auto$opt_reduce.cc:134:opt_pmux$4126 $auto$opt_reduce.cc:134:opt_pmux$4156 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3551: { $auto$opt_reduce.cc:134:opt_pmux$4152 $flatten\Controller.\Interpreter.$procmux$2969_CMP $flatten\Controller.\Interpreter.$procmux$2888_CMP $auto$opt_reduce.cc:134:opt_pmux$4158 } Optimizing cells in module \processorci_top. Performed a total of 3 changes. 17.11.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 17.11.13. Executing OPT_DFF pass (perform DFF optimizations). 17.11.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 2 unused wires. 17.11.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.11.16. Rerunning OPT passes. (Maybe there is more to do..) 17.11.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.11.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.11.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.11.20. Executing OPT_DFF pass (perform DFF optimizations). 17.11.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.11.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.11.23. Finished OPT passes. (There is nothing left to do.) 17.12. Executing FSM pass (extract and optimize FSM). 17.12.1. Executing FSM_DETECT pass (finding FSMs in design). Not marking processorci_top.Controller.Interpreter.return_state as FSM state register: Users of register don't seem to benefit from recoding. Found FSM state register processorci_top.Controller.Uart.i_uart_rx.fsm_state. Not marking processorci_top.Controller.Uart.i_uart_tx.fsm_state as FSM state register: Users of register don't seem to benefit from recoding. Not marking processorci_top.Controller.Uart.state_read as FSM state register: Register has an initialization value. Not marking processorci_top.Controller.Uart.state_write as FSM state register: Register has an initialization value. Found FSM state register processorci_top.Controller.Uart.tx_fifo_read_state. Not marking processorci_top.ResetBootSystem.state as FSM state register: Register has an initialization value. Circuit seems to be self-resetting. Not marking processorci_top.Riskow.ins.aluOp as FSM state register: Register has an initialization value. Not marking processorci_top.Riskow.ins.currentState as FSM state register: Register has an initialization value. 17.12.2. Executing FSM_EXTRACT pass (extracting FSM from design). Extracting FSM `\Controller.Uart.i_uart_rx.fsm_state' from module `\processorci_top'. found $dff cell for state register: $flatten\Controller.\Uart.\i_uart_rx.$procdff$3975 root of input selection tree: $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] found reset state: 3'000 (guessed from mux tree) found ctrl input: \ResetBootSystem.reset_o found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1186_Y found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1199_Y found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1212_Y found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1198_Y found state code: 3'000 found ctrl input: \Controller.Uart.i_uart_rx.next_bit found state code: 3'011 found ctrl input: \Controller.Uart.i_uart_rx.payload_done found state code: 3'010 found state code: 3'001 found ctrl input: \Controller.Uart.i_uart_rx.rxd_reg found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1212_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1203_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1199_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1198_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1186_Y ctrl inputs: { \ResetBootSystem.reset_o \Controller.Uart.i_uart_rx.rxd_reg \Controller.Uart.i_uart_rx.next_bit \Controller.Uart.i_uart_rx.payload_done } ctrl outputs: { $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1186_Y $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1198_Y $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1199_Y $flatten\Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1203_Y $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1212_Y $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] } transition: 3'000 4'00-- -> 3'001 8'01010001 transition: 3'000 4'01-- -> 3'000 8'01010000 transition: 3'000 4'1--- -> 3'000 8'01010000 transition: 3'010 4'0--0 -> 3'010 8'00100010 transition: 3'010 4'0--1 -> 3'011 8'00100011 transition: 3'010 4'1--- -> 3'000 8'00100000 transition: 3'001 4'0-0- -> 3'001 8'00011001 transition: 3'001 4'0-1- -> 3'010 8'00011010 transition: 3'001 4'1--- -> 3'000 8'00011000 transition: 3'011 4'0-0- -> 3'011 8'10010011 transition: 3'011 4'0-1- -> 3'000 8'10010000 transition: 3'011 4'1--- -> 3'000 8'10010000 Extracting FSM `\Controller.Uart.tx_fifo_read_state' from module `\processorci_top'. found $dff cell for state register: $flatten\Controller.\Uart.$procdff$4052 root of input selection tree: $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] found reset state: 2'00 (guessed from mux tree) found ctrl input: \ResetBootSystem.reset_o found ctrl input: $flatten\Controller.\Uart.$procmux$3582_CMP found ctrl input: $flatten\Controller.\Uart.$procmux$3577_CMP found ctrl input: $flatten\Controller.\Uart.$procmux$3584_CMP found ctrl input: $flatten\Controller.\Uart.$procmux$3571_CMP found state code: 2'00 found state code: 2'11 found state code: 2'10 found ctrl input: $flatten\Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.v:215$1072_Y found state code: 2'01 found ctrl output: $flatten\Controller.\Uart.$procmux$3571_CMP found ctrl output: $flatten\Controller.\Uart.$procmux$3577_CMP found ctrl output: $flatten\Controller.\Uart.$procmux$3582_CMP found ctrl output: $flatten\Controller.\Uart.$procmux$3584_CMP ctrl inputs: { \ResetBootSystem.reset_o $flatten\Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.v:215$1072_Y } ctrl outputs: { $flatten\Controller.\Uart.$procmux$3584_CMP $flatten\Controller.\Uart.$procmux$3582_CMP $flatten\Controller.\Uart.$procmux$3577_CMP $flatten\Controller.\Uart.$procmux$3571_CMP $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] } transition: 2'00 2'00 -> 2'00 6'000100 transition: 2'00 2'01 -> 2'01 6'000101 transition: 2'00 2'1- -> 2'00 6'000100 transition: 2'10 2'0- -> 2'11 6'001011 transition: 2'10 2'1- -> 2'00 6'001000 transition: 2'01 2'0- -> 2'10 6'100010 transition: 2'01 2'1- -> 2'00 6'100000 transition: 2'11 2'0- -> 2'00 6'010000 transition: 2'11 2'1- -> 2'00 6'010000 17.12.3. Executing FSM_OPT pass (simple optimizations of FSMs). Optimizing FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4166' from module `\processorci_top'. Merging pattern 2'0- and 2'1- from group (3 0 6'010000). Merging pattern 2'1- and 2'0- from group (3 0 6'010000). Optimizing FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4159' from module `\processorci_top'. 17.12.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 15 unused cells and 15 unused wires. 17.12.5. Executing FSM_OPT pass (simple optimizations of FSMs). Optimizing FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4159' from module `\processorci_top'. Removing unused output signal $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [0]. Removing unused output signal $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [1]. Removing unused output signal $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [2]. Optimizing FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4166' from module `\processorci_top'. Removing unused output signal $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] [0]. Removing unused output signal $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] [1]. Removing unused output signal $flatten\Controller.\Uart.$procmux$3582_CMP. Removing unused output signal $flatten\Controller.\Uart.$procmux$3584_CMP. 17.12.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). Recoding FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4159' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 000 -> ---1 010 -> --1- 001 -> -1-- 011 -> 1--- Recoding FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4166' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 00 -> ---1 10 -> --1- 01 -> -1-- 11 -> 1--- 17.12.7. Executing FSM_INFO pass (dumping all available information on FSM cells). FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4159' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\Controller.Uart.i_uart_rx.fsm_state$4159 (\Controller.Uart.i_uart_rx.fsm_state): Number of input signals: 4 Number of output signals: 5 Number of state bits: 4 Input signals: 0: \Controller.Uart.i_uart_rx.payload_done 1: \Controller.Uart.i_uart_rx.next_bit 2: \Controller.Uart.i_uart_rx.rxd_reg 3: \ResetBootSystem.reset_o Output signals: 0: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1212_Y 1: $flatten\Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1203_Y 2: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1199_Y 3: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1198_Y 4: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1186_Y State encoding: 0: 4'---1 1: 4'--1- 2: 4'-1-- 3: 4'1--- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 4'01-- -> 0 5'01010 1: 0 4'1--- -> 0 5'01010 2: 0 4'00-- -> 2 5'01010 3: 1 4'1--- -> 0 5'00100 4: 1 4'0--0 -> 1 5'00100 5: 1 4'0--1 -> 3 5'00100 6: 2 4'1--- -> 0 5'00011 7: 2 4'0-1- -> 1 5'00011 8: 2 4'0-0- -> 2 5'00011 9: 3 4'0-1- -> 0 5'10010 10: 3 4'1--- -> 0 5'10010 11: 3 4'0-0- -> 3 5'10010 ------------------------------------- FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4166' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\Controller.Uart.tx_fifo_read_state$4166 (\Controller.Uart.tx_fifo_read_state): Number of input signals: 2 Number of output signals: 2 Number of state bits: 4 Input signals: 0: $flatten\Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.v:215$1072_Y 1: \ResetBootSystem.reset_o Output signals: 0: $flatten\Controller.\Uart.$procmux$3571_CMP 1: $flatten\Controller.\Uart.$procmux$3577_CMP State encoding: 0: 4'---1 1: 4'--1- 2: 4'-1-- 3: 4'1--- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 2'00 -> 0 2'01 1: 0 2'1- -> 0 2'01 2: 0 2'01 -> 2 2'01 3: 1 2'1- -> 0 2'10 4: 1 2'0- -> 3 2'10 5: 2 2'1- -> 0 2'00 6: 2 2'0- -> 1 2'00 7: 3 2'-- -> 0 2'00 ------------------------------------- 17.12.8. Executing FSM_MAP pass (mapping FSMs to basic logic). Mapping FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4159' from module `\processorci_top'. Mapping FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4166' from module `\processorci_top'. 17.13. Executing OPT pass (performing simple optimizations). 17.13.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.13.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 3 cells. 17.13.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.13.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.13.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.13.6. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4016 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1569_Y, Q = \Riskow.ins.funct7, rval = 7'0000000). Adding EN signal on $auto$ff.cc:266:slice$4238 ($sdff) from module processorci_top (D = \Riskow.ins.dataIn [31:25], Q = \Riskow.ins.funct7). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4015 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1578_Y, Q = \Riskow.ins.funct3, rval = 3'000). Adding EN signal on $auto$ff.cc:266:slice$4244 ($sdff) from module processorci_top (D = \Riskow.ins.dataIn [14:12], Q = \Riskow.ins.funct3). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4014 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1587_Y, Q = \Riskow.ins.opcode, rval = 7'0110011). Adding EN signal on $auto$ff.cc:266:slice$4250 ($sdff) from module processorci_top (D = \Riskow.ins.dataIn [6:0], Q = \Riskow.ins.opcode). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4013 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1614_Y, Q = \Riskow.ins.imm, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4256 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1609_Y, Q = \Riskow.ins.imm). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4009 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1729_Y, Q = \Riskow.ins.currentState, rval = 4'0100). Adding EN signal on $auto$ff.cc:266:slice$4264 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1729_Y, Q = \Riskow.ins.currentState). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4008 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1793_Y, Q = \Riskow.ins.wRegWriteEnable, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4282 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1790_Y, Q = \Riskow.ins.wRegWriteEnable). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4007 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1845_Y, Q = \Riskow.ins.wRegRegNum, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4304 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1842_Y, Q = \Riskow.ins.wRegRegNum). Adding EN signal on $flatten\Riskow.\ins.$procdff$4006 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1921_Y, Q = \Riskow.ins.wRegDataIn). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4005 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1936_Y, Q = \Riskow.ins.regNumB, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4359 ($sdff) from module processorci_top (D = \Riskow.ins.dataIn [23:20], Q = \Riskow.ins.regNumB). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4004 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1945_Y, Q = \Riskow.ins.regNumA, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4365 ($sdff) from module processorci_top (D = \Riskow.ins.dataIn [18:15], Q = \Riskow.ins.regNumA). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4003 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2010_Y, Q = \Riskow.ins.aluY, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4371 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2004_Y, Q = \Riskow.ins.aluY). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4002 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2075_Y, Q = \Riskow.ins.aluX, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4383 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2069_Y, Q = \Riskow.ins.aluX). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4001 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2165_Y, Q = \Riskow.ins.aluOp, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4399 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2159_Y, Q = \Riskow.ins.aluOp). Adding SRST signal on $flatten\Riskow.\ins.$procdff$4000 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2220_Y, Q = \Riskow.ins.pcCountEnable, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4419 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2217_Y, Q = \Riskow.ins.pcCountEnable). Adding SRST signal on $flatten\Riskow.\ins.$procdff$3999 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2245_Y, Q = \Riskow.ins.pcWriteAdd, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4443 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2230_Y, Q = \Riskow.ins.pcWriteAdd). Adding SRST signal on $flatten\Riskow.\ins.$procdff$3998 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2305_Y, Q = \Riskow.ins.pcWriteEnable, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4459 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2296_Y, Q = \Riskow.ins.pcWriteEnable). Adding SRST signal on $flatten\Riskow.\ins.$procdff$3997 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2368_Y, Q = \Riskow.ins.pcDataIn, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4481 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2356_Y, Q = \Riskow.ins.pcDataIn). Adding SRST signal on $flatten\Riskow.\ins.$procdff$3991 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2639_Y, Q = \Riskow.ins.busValid, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4507 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2639_Y, Q = \Riskow.ins.busValid). Adding SRST signal on $flatten\Riskow.\ins.$procdff$3990 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2693_Y, Q = \Riskow.ins.dataOut, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4527 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2646_Y, Q = \Riskow.ins.dataOut). Adding SRST signal on $flatten\Riskow.\ins.$procdff$3989 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2763_Y, Q = \Riskow.ins.address, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4559 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2757_Y, Q = \Riskow.ins.address). Adding SRST signal on $flatten\Riskow.\ins.$procdff$3988 ($dff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2808_Y, Q = \Riskow.ins.busWriteEnable, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4583 ($sdff) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2796_Y, Q = \Riskow.ins.busWriteEnable). Adding SRST signal on $flatten\Riskow.\PC.$procdff$4076 ($dff) from module processorci_top (D = $flatten\Riskow.\PC.$procmux$3803_Y, Q = \Riskow.PC.programCounter, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4613 ($sdff) from module processorci_top (D = $flatten\Riskow.\PC.$procmux$3803_Y, Q = \Riskow.PC.programCounter). Adding EN signal on $flatten\ResetBootSystem.$procdff$4079 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$0\counter[5:0], Q = \ResetBootSystem.counter). Adding EN signal on $flatten\ResetBootSystem.$procdff$4077 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$0\reset_o[0:0], Q = \ResetBootSystem.reset_o). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$3987 ($dff) from module processorci_top (D = { $flatten\Controller.\Uart.\i_uart_tx.$procmux$1536_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1530_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1521_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1512_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1503_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1494_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1476_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1485_Y }, Q = \Controller.Uart.i_uart_tx.data_to_send, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4633 ($sdff) from module processorci_top (D = \Controller.Uart.uart_tx_data [7], Q = \Controller.Uart.i_uart_tx.data_to_send [7]). Adding EN signal on $auto$ff.cc:266:slice$4633 ($sdff) from module processorci_top (D = { $flatten\Controller.\Uart.\i_uart_tx.$procmux$1530_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1521_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1512_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1503_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1494_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1476_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1485_Y }, Q = \Controller.Uart.i_uart_tx.data_to_send [6:0]). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$3985 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1452_Y, Q = \Controller.Uart.i_uart_tx.bit_counter, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4638 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1452_Y, Q = \Controller.Uart.i_uart_tx.bit_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$3984 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1441_Y, Q = \Controller.Uart.i_uart_tx.cycle_counter, rval = 9'000000000). Adding EN signal on $auto$ff.cc:266:slice$4644 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1166_Y, Q = \Controller.Uart.i_uart_tx.cycle_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$3983 ($dff) from module processorci_top (D = \Controller.Uart.i_uart_tx.n_fsm_state, Q = \Controller.Uart.i_uart_tx.fsm_state, rval = 3'000). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$3982 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1430_Y, Q = \Controller.Uart.i_uart_tx.txd_reg, rval = 1'1). Adding EN signal on $auto$ff.cc:266:slice$4649 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1430_Y, Q = \Controller.Uart.i_uart_tx.txd_reg). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$3981 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1419_Y, Q = \Controller.Uart.i_uart_rx.uart_rx_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4655 ($sdff) from module processorci_top (D = \Controller.Uart.i_uart_rx.recieved_data, Q = \Controller.Uart.i_uart_rx.uart_rx_data). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$3980 ($dff) from module processorci_top (D = { $flatten\Controller.\Uart.\i_uart_rx.$procmux$1396_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1387_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1378_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1369_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1360_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1351_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1333_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1342_Y }, Q = \Controller.Uart.i_uart_rx.recieved_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4657 ($sdff) from module processorci_top (D = { \Controller.Uart.i_uart_rx.bit_sample \Controller.Uart.i_uart_rx.recieved_data [7:1] }, Q = \Controller.Uart.i_uart_rx.recieved_data). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$3978 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1315_Y, Q = \Controller.Uart.i_uart_rx.bit_counter, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4661 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1206_Y, Q = \Controller.Uart.i_uart_rx.bit_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$3977 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1310_Y, Q = \Controller.Uart.i_uart_rx.bit_sample, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4665 ($sdff) from module processorci_top (D = \Controller.Uart.i_uart_rx.rxd_reg, Q = \Controller.Uart.i_uart_rx.bit_sample). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$3976 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1302_Y, Q = \Controller.Uart.i_uart_rx.cycle_counter, rval = 9'000000000). Adding EN signal on $auto$ff.cc:266:slice$4667 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1217_Y, Q = \Controller.Uart.i_uart_rx.cycle_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$3974 ($dff) from module processorci_top (D = \rx, Q = \Controller.Uart.i_uart_rx.rxd_reg_0, rval = 1'1). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$3973 ($dff) from module processorci_top (D = \Controller.Uart.i_uart_rx.rxd_reg_0, Q = \Controller.Uart.i_uart_rx.rxd_reg, rval = 1'1). Adding SRST signal on $flatten\Controller.\Uart.\TX_FIFO.$procdff$3972 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$procmux$1279_Y, Q = \Controller.Uart.TX_FIFO.read_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4673 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$1026_Y [5:0], Q = \Controller.Uart.TX_FIFO.read_ptr). Adding EN signal on $flatten\Controller.\Uart.\TX_FIFO.$procdff$3971 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$1023_DATA, Q = \Controller.Uart.TX_FIFO.read_data). Adding SRST signal on $flatten\Controller.\Uart.\TX_FIFO.$procdff$3967 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$procmux$1274_Y, Q = \Controller.Uart.TX_FIFO.write_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4680 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$1042_Y [5:0], Q = \Controller.Uart.TX_FIFO.write_ptr). Adding SRST signal on $flatten\Controller.\Uart.\RX_FIFO.$procdff$3972 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$procmux$1279_Y, Q = \Controller.Uart.RX_FIFO.read_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4682 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$1026_Y [5:0], Q = \Controller.Uart.RX_FIFO.read_ptr). Adding EN signal on $flatten\Controller.\Uart.\RX_FIFO.$procdff$3971 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$1023_DATA, Q = \Controller.Uart.RX_FIFO.read_data). Adding SRST signal on $flatten\Controller.\Uart.\RX_FIFO.$procdff$3967 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$procmux$1274_Y, Q = \Controller.Uart.RX_FIFO.write_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4689 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$1042_Y [5:0], Q = \Controller.Uart.RX_FIFO.write_ptr). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4065 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3707_Y, Q = \Controller.Uart.state_read, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4691 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3707_Y, Q = \Controller.Uart.state_read). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4064 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3732_Y, Q = \Controller.Uart.counter_read, rval = 3'000). Adding EN signal on $auto$ff.cc:266:slice$4695 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3732_Y, Q = \Controller.Uart.counter_read). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4063 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3696_Y, Q = \Controller.Uart.rx_fifo_read, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4062 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3747_Y, Q = \Controller.Uart.read_data, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4712 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3745_Y, Q = \Controller.Uart.read_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4061 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3685_Y, Q = \Controller.Uart.read_response, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4060 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3629_Y, Q = \Controller.Uart.state_write, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4719 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3629_Y, Q = \Controller.Uart.state_write). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4059 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3651_Y, Q = \Controller.Uart.counter_write, rval = 3'000). Adding EN signal on $auto$ff.cc:266:slice$4723 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3651_Y, Q = \Controller.Uart.counter_write). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4058 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3665_Y, Q = \Controller.Uart.write_data_buffer, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4733 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3665_Y, Q = \Controller.Uart.write_data_buffer). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4057 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3679_Y, Q = \Controller.Uart.tx_fifo_write_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4743 ($sdff) from module processorci_top (D = \Controller.Uart.write_data_buffer [31:24], Q = \Controller.Uart.tx_fifo_write_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4056 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3611_Y, Q = \Controller.Uart.tx_fifo_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4055 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3621_Y, Q = \Controller.Uart.write_response, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4054 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3602_Y, Q = \Controller.Uart.rx_fifo_write_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4757 ($sdff) from module processorci_top (D = \Controller.Uart.i_uart_rx.uart_rx_data, Q = \Controller.Uart.rx_fifo_write_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4053 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3597_Y, Q = \Controller.Uart.rx_fifo_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4051 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3592_Y, Q = \Controller.Uart.uart_tx_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4760 ($sdff) from module processorci_top (D = \Controller.Uart.TX_FIFO.read_data, Q = \Controller.Uart.uart_tx_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4050 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3568_Y, Q = \Controller.Uart.tx_fifo_read, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4049 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$3576_Y, Q = \Controller.Uart.uart_tx_en, rval = 1'0). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4048 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3115_Y, Q = \Controller.Interpreter.temp_buffer). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4047 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3158_Y, Q = \Controller.Interpreter.accumulator, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$4777 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3158_Y [63:8], Q = \Controller.Interpreter.accumulator [63:8]). Adding EN signal on $auto$ff.cc:266:slice$4777 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3158_Y [7:0], Q = \Controller.Interpreter.accumulator [7:0]). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4046 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3168_Y, Q = \Controller.Interpreter.timeout_counter, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4792 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3168_Y, Q = \Controller.Interpreter.timeout_counter). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4045 ($dff) from module processorci_top (D = { 8'00000000 \Controller.Interpreter.communication_buffer [31:8] }, Q = \Controller.Interpreter.timeout). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4044 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3209_Y, Q = \Controller.Interpreter.read_buffer). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4043 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3237_Y, Q = \Controller.Interpreter.communication_buffer, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4808 ($sdff) from module processorci_top (D = \Controller.Uart.read_data, Q = \Controller.Interpreter.communication_buffer). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4042 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3262_Y, Q = \Controller.Interpreter.num_of_positions). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4041 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3284_Y, Q = \Controller.Interpreter.num_of_pages, rval = 24'000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$4819 ($sdff) from module processorci_top (D = \Controller.Interpreter.communication_buffer [31:8], Q = \Controller.Interpreter.num_of_pages). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4040 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3290_Y, Q = \Controller.Interpreter.return_state, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4821 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3290_Y, Q = \Controller.Interpreter.return_state). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4039 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3314_Y, Q = \Controller.Interpreter.memory_page_number). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4038 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3322_Y, Q = \Controller.Interpreter.memory_mux_selector, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4836 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3322_Y, Q = \Controller.Interpreter.memory_mux_selector). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4037 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3362_Y, Q = \Controller.Interpreter.end_position, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4840 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3362_Y, Q = \Controller.Interpreter.end_position). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4035 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3404_Y, Q = \Controller.Interpreter.bus_mode, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4844 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3404_Y, Q = \Controller.Interpreter.bus_mode). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4034 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2943_Y, Q = \Controller.Interpreter.reset_bus, rval = 1'1). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4033 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3415_Y, Q = \Controller.Interpreter.num_of_cycles_to_pulse). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4032 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3048_Y, Q = \Controller.Interpreter.core_reset, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4031 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3425_Y, Q = \Controller.Interpreter.core_clk_enable, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4857 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3425_Y, Q = \Controller.Interpreter.core_clk_enable). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4030 ($dff) from module processorci_top (D = \Controller.Interpreter.read_buffer, Q = \Controller.Interpreter.communication_write_data). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4029 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3067_Y, Q = \Controller.Interpreter.communication_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4028 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3090_Y, Q = \Controller.Interpreter.communication_read, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4027 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3000_Y, Q = \Controller.Interpreter.write_pulse, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4026 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3501_Y, Q = \Controller.Interpreter.counter, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4873 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3501_Y, Q = \Controller.Interpreter.counter). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4025 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2843_Y, Q = \Controller.Interpreter.state, rval = 8'00000000). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4024 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3525_Y, Q = \Controller.Interpreter.write_data). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4023 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2967_Y, Q = \Controller.Interpreter.memory_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4022 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2989_Y, Q = \Controller.Interpreter.memory_read, rval = 1'0). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4021 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3551_Y, Q = \Controller.Interpreter.address). Adding SRST signal on $flatten\Controller.\ClkDivider.$procdff$4018 ($dff) from module processorci_top (D = $flatten\Controller.\ClkDivider.$procmux$2817_Y, Q = \Controller.ClkDivider.pulse_counter, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4896 ($sdff) from module processorci_top (D = $flatten\Controller.\ClkDivider.$procmux$2817_Y, Q = \Controller.ClkDivider.pulse_counter). Adding SRST signal on $flatten\Controller.$procdff$4072 ($dff) from module processorci_top (D = $flatten\Controller.$procmux$3768_Y, Q = \Controller.finish_execution, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4904 ($sdff) from module processorci_top (D = $flatten\Controller.$procmux$3768_Y, Q = \Controller.finish_execution). Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$4796 ($dffe) from module processorci_top. 17.13.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 204 unused cells and 209 unused wires. 17.13.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.13.9. Rerunning OPT passes. (Maybe there is more to do..) 17.13.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.13.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New input vector for $reduce_or cell $auto$opt_dff.cc:254:combine_resets$4663: { \ResetBootSystem.reset_o \Controller.Uart.i_uart_rx.fsm_state [3:2] \Controller.Uart.i_uart_rx.fsm_state [0] } Optimizing cells in module \processorci_top. Performed a total of 1 changes. 17.13.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 98 cells. 17.13.13. Executing OPT_DFF pass (perform DFF optimizations). 17.13.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1 unused cells and 99 unused wires. 17.13.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.13.16. Rerunning OPT passes. (Maybe there is more to do..) 17.13.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.13.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.13.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.13.20. Executing OPT_DFF pass (perform DFF optimizations). 17.13.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.13.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.13.23. Finished OPT passes. (There is nothing left to do.) 17.14. Executing WREDUCE pass (reducing word size of cells). Removed top 22 address bits (of 32) from memory init port processorci_top.$flatten\Controller.\Data_Memory.$auto$proc_memwr.cc:45:proc_memwr$4081 (Controller.Data_Memory.memory). Removed top 22 address bits (of 32) from memory read port processorci_top.$flatten\Controller.\Data_Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$755 (Controller.Data_Memory.memory). Removed top 22 address bits (of 32) from memory init port processorci_top.$flatten\Controller.\Memory.$auto$proc_memwr.cc:45:proc_memwr$4081 (Controller.Memory.memory). Removed top 22 address bits (of 32) from memory read port processorci_top.$flatten\Controller.\Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$755 (Controller.Memory.memory). Removed top 3 address bits (of 6) from memory init port processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$auto$proc_memwr.cc:45:proc_memwr$4080 (Controller.Uart.RX_FIFO.memory). Removed top 3 address bits (of 6) from memory read port processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$1023 (Controller.Uart.RX_FIFO.memory). Removed top 3 address bits (of 6) from memory init port processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$auto$proc_memwr.cc:45:proc_memwr$4080 (Controller.Uart.TX_FIFO.memory). Removed top 3 address bits (of 6) from memory read port processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$1023 (Controller.Uart.TX_FIFO.memory). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$157 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$158 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$159 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$160 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$161 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$162 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$163 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$164 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$165 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$166 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$167 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$168 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$169 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$170 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$171 (Riskow.registers.registers). Removed top 28 address bits (of 32) from memory init port processorci_top.$flatten\Riskow.\registers.$meminit$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22$172 (Riskow.registers.registers). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4206 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4231 ($eq). Removed top 4 bits (of 15) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4273 ($ne). Removed top 3 bits (of 13) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4275 ($ne). Removed top 1 bits (of 8) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4277 ($ne). Removed top 4 bits (of 9) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4279 ($ne). Removed top 1 bits (of 10) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4291 ($ne). Removed top 2 bits (of 10) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4293 ($ne). Removed top 2 bits (of 8) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4295 ($ne). Removed top 1 bits (of 6) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4297 ($ne). Removed top 3 bits (of 13) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4336 ($ne). Removed top 1 bits (of 7) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4346 ($ne). Removed top 1 bits (of 8) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4344 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4181 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\ClkDivider.$gt$/eda/processor-ci-controller/src/clk_divider.v:62$1124 ($gt). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:219$1081 ($eq). Removed top 6 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1085 ($add). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1088 ($add). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\Controller.\Interpreter.$lt$/eda/processor-ci-controller/src/interpreter.v:455$1097 ($lt). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:494$1102 ($eq). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1104 ($ge). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2844_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2845_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2847 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2849_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2850_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2851_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2852_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2853_CMP0 ($eq). Removed top 5 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2855 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2857_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2858_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2860 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2862_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2863_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2867_CMP0 ($eq). Removed top 6 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2868_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2869_CMP0 ($eq). Removed top 4 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2871 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2873_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2874_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2875_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2877 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2879_CMP0 ($eq). Removed top 4 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2881 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2883_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2884_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2885_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2886_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2887_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2888_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2889_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2891 ($mux). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2893_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2895 ($mux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2897_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2898_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2900 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2902_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2903_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2906_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2905 ($pmux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2907_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2908_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2909_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2910_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2911_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2912_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2913_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2914_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2915_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2916_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2917_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2918_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2919_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2920_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2921_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2922_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2923_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2924_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2925_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2926_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2927_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2928_CMP0 ($eq). Removed top 6 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2929_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2931 ($mux). Removed top 7 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2933_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2935 ($mux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2969_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2970_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2971_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3004_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3159_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3160_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3161_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3204_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3330_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3363_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3364_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3437_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$3438_CMP0 ($eq). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.v:95$1055 ($lt). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.v:159$1060 ($lt). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3616_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3622_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3623_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3635_CMP0 ($eq). Removed top 1 bits (of 4) from mux cell processorci_top.$flatten\Controller.\Uart.$procmux$3637 ($mux). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3686_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3687_CMP0 ($eq). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3701_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$3709_CMP0 ($eq). Removed top 3 bits (of 4) from mux cell processorci_top.$flatten\Controller.\Uart.$procmux$3717 ($mux). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4909 ($ne). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$procmux$1271 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$procmux$1259 ($mux). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043 ($sub). Removed top 25 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043 ($sub). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$1042 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:42$1040 ($eq). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$1026 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:31$1024 ($eq). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$procmux$1271 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$procmux$1259 ($mux). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043 ($sub). Removed top 25 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043 ($sub). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$1042 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:42$1040 ($eq). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$1026 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:31$1024 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4192 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4899 ($ne). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:119$1194 ($mux). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:118$1193 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:117$1192 ($mux). Removed top 2 bits (of 9) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:110$1187 ($eq). Removed top 1 bits (of 9) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:108$1185 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:152$1161 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:137$1153 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:135$1151 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:133$1148 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:133$1147 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:120$1143 ($eq). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1138 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:102$1137 ($mux). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:101$1136 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:100$1135 ($mux). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:94$1131 ($eq). Removed top 1 bits (of 9) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:92$1129 ($eq). Removed top 22 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Memory.$procmux$3759 ($mux). Removed top 22 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Data_Memory.$procmux$3759 ($mux). Removed top 20 bits (of 32) from mux cell processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:96$732 ($mux). Removed top 20 bits (of 32) from mux cell processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$716 ($mux). Removed top 20 bits (of 32) from mux cell processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$715 ($mux). Removed top 1 bits (of 5) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4392 ($ne). Removed top 5 bits (of 10) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4406 ($ne). Removed top 1 bits (of 4) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4408 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4410 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4412 ($ne). Removed top 1 bits (of 10) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4426 ($ne). Removed top 1 bits (of 9) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4428 ($ne). Removed top 2 bits (of 5) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4436 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4450 ($ne). Removed top 2 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4470 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4472 ($ne). Removed top 1 bits (of 6) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4486 ($ne). Removed top 1 bits (of 5) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4488 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4494 ($ne). Removed top 3 bits (of 14) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4514 ($ne). Removed top 2 bits (of 12) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4516 ($ne). Removed top 2 bits (of 7) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4520 ($ne). Removed top 2 bits (of 11) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4564 ($ne). Removed top 1 bits (of 7) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4570 ($ne). Removed top 2 bits (of 9) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4588 ($ne). Removed top 2 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4600 ($ne). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:211$850 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:226$851 ($eq). Removed top 5 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:226$854 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:228$856 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:233$859 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:241$861 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:241$862 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868 ($eq). Removed top 3 bits (of 4) from mux cell processorci_top.$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:296$869 ($mux). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:378$872 ($eq). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:383$873 ($sub). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:405$874 ($eq). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:441$876 ($sub). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Riskow.\ins.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:466$879 ($add). Removed top 5 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:476$880 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$885 ($eq). Removed top 1 bits (of 7) from FF cell processorci_top.$auto$ff.cc:266:slice$4239 ($sdffe). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:568$905 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$1652_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$1655_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$1661_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$1662_CMP0 ($eq). Removed top 1 bits (of 4) from mux cell processorci_top.$flatten\Riskow.\ins.$procmux$1705 ($mux). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$1875_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$2125_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$2138_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Riskow.\ins.$procmux$2139_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4623 ($ne). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\alu.$procmux$3857_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\alu.$procmux$3858_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\alu.$procmux$3859_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\alu.$procmux$3860_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\alu.$procmux$3861_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\alu.$procmux$3862_CMP0 ($eq). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Riskow.\alu.$procmux$3863_CMP0 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Riskow.\registers.$gt$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:31$137 ($gt). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Riskow.\PC.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:19$105 ($sub). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Riskow.\PC.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:20$107 ($add). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\ResetBootSystem.$procmux$3820_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/src/reset.v:43$702 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$701 ($add). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$701 ($add). Removed top 27 bits (of 32) from port B of cell processorci_top.$flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$700 ($lt). Removed top 20 bits (of 32) from wire processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$715_Y. Removed top 22 bits (of 32) from wire processorci_top.$flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_ADDR[31:0]$759. Removed top 7 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2847_Y. Removed top 5 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2855_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2860_Y. Removed top 4 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2871_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2877_Y. Removed top 4 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2881_Y. Removed top 7 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2891_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2895_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2900_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2905_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2931_Y. Removed top 7 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$2935_Y. Removed top 22 bits (of 32) from wire processorci_top.$flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$753_ADDR[31:0]$759. Removed top 1 bits (of 4) from wire processorci_top.$flatten\Controller.\Uart.$procmux$3637_Y. Removed top 3 bits (of 4) from wire processorci_top.$flatten\Controller.\Uart.$procmux$3717_Y. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_ADDR[5:0]$1028. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_ADDR[5:0]$1037. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$1026_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$1042_Y. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_ADDR[5:0]$1028. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$1018_ADDR[5:0]$1037. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$1026_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$1042_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:100$1135_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:101$1136_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:102$1137_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1138_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$701_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Riskow.\alu.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:43$16_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:41$14_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:42$15_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Riskow.\alu.$lt$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:35$8_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Riskow.\alu.$lt$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:36$9_Y. Removed top 1 bits (of 4) from wire processorci_top.$flatten\Riskow.\ins.$procmux$1705_Y. Removed top 3 bits (of 4) from wire processorci_top.$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:296$869_Y. 17.15. Executing PEEPOPT pass (run peephole optimizers). 17.16. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 41 unused wires. 17.17. Executing SHARE pass (SAT-based resource sharing). Found 8 cells in module processorci_top that may be considered for resource sharing. Analyzing resource sharing options for $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140 ($memrd): Found 10 activation_patterns using ctrl signal { $flatten\Riskow.\ins.$procmux$1880_CMP $flatten\Riskow.\ins.$procmux$1875_CMP $flatten\Riskow.\ins.$procmux$1871_CMP $flatten\Riskow.\ins.$procmux$1870_CMP $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$885_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$884_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:490$882_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y }. Found 1 candidates: $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139 Analyzing resource sharing with $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139 ($memrd): Found 6 activation_patterns using ctrl signal { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:568$905_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:476$880_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:452$877_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:425$875_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:405$874_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:378$872_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y }. Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$884_Y = 1'1 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1871_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$885_Y } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1871_CMP $flatten\Riskow.\ins.$procmux$1870_CMP } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1875_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$885_Y } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1875_CMP $flatten\Riskow.\ins.$procmux$1870_CMP } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 3'110 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 4'1100 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1880_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:490$882_Y } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1880_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$885_Y } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:36$140: { $flatten\Riskow.\ins.$procmux$1880_CMP $flatten\Riskow.\ins.$procmux$1870_CMP } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:452$877_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:425$875_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:405$874_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:378$872_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 8'11000000 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:476$880_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:452$877_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:425$875_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:405$874_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:378$872_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 9'110000000 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:568$905_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:476$880_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:452$877_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:425$875_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:405$874_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:378$872_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 10'1100000000 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 2'11 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 3'110 Activation pattern for cell $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139: { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 4'1100 Size of SAT problem: 0 cells, 231 variables, 706 clauses According to the SAT solver this pair of cells can not be shared. Model from SAT solver: { $flatten\Riskow.\ins.$procmux$1880_CMP $flatten\Riskow.\ins.$procmux$1875_CMP $flatten\Riskow.\ins.$procmux$1871_CMP $flatten\Riskow.\ins.$procmux$1870_CMP $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:568$905_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$885_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:491$884_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:490$882_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:476$880_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:452$877_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:425$875_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:405$874_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:378$872_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y } = 17'10011000000000001 Analyzing resource sharing options for $flatten\Riskow.\registers.$memrd$\registers$/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:35$139 ($memrd): Found 6 activation_patterns using ctrl signal { $flatten\Riskow.\ins.$procmux$1662_CMP $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:568$905_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:476$880_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:452$877_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:425$875_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:405$874_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:378$872_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:323$871_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:290$868_Y $flatten\Riskow.\ins.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:257$866_Y }. No candidates found. Analyzing resource sharing options for $flatten\Riskow.\alu.$sshr$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:38$11 ($sshr): Found 1 activation_patterns using ctrl signal $flatten\Riskow.\alu.$procmux$3856_CMP. No candidates found. Analyzing resource sharing options for $flatten\Riskow.\alu.$sshl$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:40$13 ($sshl): Found 1 activation_patterns using ctrl signal $flatten\Riskow.\alu.$procmux$3854_CMP. No candidates found. Analyzing resource sharing options for $flatten\Riskow.\alu.$shr$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:37$10 ($shr): Found 1 activation_patterns using ctrl signal $flatten\Riskow.\alu.$procmux$3857_CMP. No candidates found. Analyzing resource sharing options for $flatten\Riskow.\alu.$shl$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:39$12 ($shl): Found 1 activation_patterns using ctrl signal $flatten\Riskow.\alu.$procmux$3855_CMP. No candidates found. Analyzing resource sharing options for $flatten\Controller.\Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$755 ($memrd): Found 2 activation_patterns using ctrl signal { \Controller.Memory.memory_read $flatten\Controller.\Interpreter.$procmux$2887_CMP \Controller.Interpreter.address [31] \Controller.Interpreter.memory_mux_selector }. No candidates found. Analyzing resource sharing options for $flatten\Controller.\Data_Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$755 ($memrd): Found 1 activation_patterns using ctrl signal { \Controller.Data_Memory.memory_read $flatten\Controller.\Interpreter.$procmux$2887_CMP \Controller.Interpreter.address [31] \Controller.Interpreter.memory_mux_selector }. No candidates found. 17.18. Executing TECHMAP pass (map to technology primitives). 17.18.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/cmp2lut.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/cmp2lut.v' to AST representation. Generating RTLIL representation for module `\_90_lut_cmp_'. Successfully finished Verilog frontend. 17.18.2. Continuing TECHMAP pass. Using template $paramod$141b0f41d8ab4b936f42c52ead39f1f9a7c93200\_90_lut_cmp_ for cells of type $lt. Using template $paramod$63c7f1a5c4d320eda7bf5de8a615386686b975ab\_90_lut_cmp_ for cells of type $gt. No more expansions possible. 17.19. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.20. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 9 unused wires. 17.21. Executing TECHMAP pass (map to technology primitives). 17.21.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v' to AST representation. Generating RTLIL representation for module `\_80_mul'. Generating RTLIL representation for module `\_90_soft_mul'. Successfully finished Verilog frontend. 17.21.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/dsp_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/dsp_map.v' to AST representation. Generating RTLIL representation for module `\$__MUL18X18'. Successfully finished Verilog frontend. 17.21.3. Continuing TECHMAP pass. No more expansions possible. 17.22. Executing ALUMACC pass (create $alu and $macc cells). Extracting $alu and $macc cells in module processorci_top: creating $macc model for $flatten\Controller.\ClkDivider.$sub$/eda/processor-ci-controller/src/clk_divider.v:63$1125 ($sub). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:218$1080 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:280$1084 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1085 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1088 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:454$1095 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1099 ($add). creating $macc model for $flatten\Controller.\Interpreter.$sub$/eda/processor-ci-controller/src/interpreter.v:361$1087 ($sub). creating $macc model for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:163$1062 ($add). creating $macc model for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:98$1057 ($add). creating $macc model for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025 ($add). creating $macc model for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041 ($add). creating $macc model for $flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043 ($sub). creating $macc model for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025 ($add). creating $macc model for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041 ($add). creating $macc model for $flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043 ($sub). creating $macc model for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1206 ($add). creating $macc model for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1217 ($add). creating $macc model for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1155 ($add). creating $macc model for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1166 ($add). creating $macc model for $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$701 ($add). creating $macc model for $flatten\Riskow.\PC.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:19$104 ($add). creating $macc model for $flatten\Riskow.\PC.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:20$107 ($add). creating $macc model for $flatten\Riskow.\PC.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:19$105 ($sub). creating $macc model for $flatten\Riskow.\alu.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:30$3 ($add). creating $macc model for $flatten\Riskow.\alu.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:31$4 ($sub). creating $macc model for $flatten\Riskow.\ins.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:466$879 ($add). creating $macc model for $flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:383$873 ($sub). creating $macc model for $flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:441$876 ($sub). merging $macc model for $flatten\Riskow.\PC.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:19$104 into $flatten\Riskow.\PC.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:19$105. creating $alu model for $macc $flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:383$873. creating $alu model for $macc $flatten\Riskow.\ins.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:466$879. creating $alu model for $macc $flatten\Riskow.\alu.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:31$4. creating $alu model for $macc $flatten\Riskow.\alu.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:30$3. creating $alu model for $macc $flatten\Riskow.\PC.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:20$107. creating $alu model for $macc $flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:441$876. creating $alu model for $macc $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$701. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1166. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1155. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1217. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1206. creating $alu model for $macc $flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043. creating $alu model for $macc $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041. creating $alu model for $macc $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025. creating $alu model for $macc $flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043. creating $alu model for $macc $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041. creating $alu model for $macc $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025. creating $alu model for $macc $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:98$1057. creating $alu model for $macc $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:163$1062. creating $alu model for $macc $flatten\Controller.\Interpreter.$sub$/eda/processor-ci-controller/src/interpreter.v:361$1087. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1099. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:454$1095. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1088. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1085. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:280$1084. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:218$1080. creating $alu model for $macc $flatten\Controller.\ClkDivider.$sub$/eda/processor-ci-controller/src/clk_divider.v:63$1125. creating $macc cell for $flatten\Riskow.\PC.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:19$105: $auto$alumacc.cc:365:replace_macc$4960 creating $alu model for $flatten\Controller.\ClkDivider.$gt$/eda/processor-ci-controller/src/clk_divider.v:62$1124 ($gt): new $alu creating $alu model for $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1104 ($ge): new $alu creating $alu model for $flatten\Controller.\Interpreter.$lt$/eda/processor-ci-controller/src/interpreter.v:455$1097 ($lt): merged with $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1104. creating $alu model for $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$700 ($lt): new $alu creating $alu model for $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:41$14 ($ge): new $alu creating $alu model for $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:42$15 ($ge): new $alu creating $alu model for $flatten\Riskow.\alu.$lt$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:35$8 ($lt): merged with $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:41$14. creating $alu model for $flatten\Riskow.\alu.$lt$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:36$9 ($lt): merged with $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:42$15. creating $alu model for $flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:494$1102 ($eq): merged with $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1104. creating $alu model for $flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/src/reset.v:43$702 ($eq): merged with $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$700. creating $alu model for $flatten\Riskow.\alu.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:43$16 ($eq): merged with $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:41$14. creating $alu model for $flatten\Riskow.\alu.$ne$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:44$17 ($ne): merged with $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:41$14. creating $alu cell for $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$700, $flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/src/reset.v:43$702: $auto$alumacc.cc:485:replace_alu$4966 creating $alu cell for $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1104, $flatten\Controller.\Interpreter.$lt$/eda/processor-ci-controller/src/interpreter.v:455$1097, $flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:494$1102: $auto$alumacc.cc:485:replace_alu$4977 creating $alu cell for $flatten\Controller.\ClkDivider.$gt$/eda/processor-ci-controller/src/clk_divider.v:62$1124: $auto$alumacc.cc:485:replace_alu$4990 creating $alu cell for $flatten\Controller.\ClkDivider.$sub$/eda/processor-ci-controller/src/clk_divider.v:63$1125: $auto$alumacc.cc:485:replace_alu$4995 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:218$1080: $auto$alumacc.cc:485:replace_alu$4998 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:280$1084: $auto$alumacc.cc:485:replace_alu$5001 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1085: $auto$alumacc.cc:485:replace_alu$5004 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1088: $auto$alumacc.cc:485:replace_alu$5007 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:454$1095: $auto$alumacc.cc:485:replace_alu$5010 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1099: $auto$alumacc.cc:485:replace_alu$5013 creating $alu cell for $flatten\Controller.\Interpreter.$sub$/eda/processor-ci-controller/src/interpreter.v:361$1087: $auto$alumacc.cc:485:replace_alu$5016 creating $alu cell for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:163$1062: $auto$alumacc.cc:485:replace_alu$5019 creating $alu cell for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:98$1057: $auto$alumacc.cc:485:replace_alu$5022 creating $alu cell for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025: $auto$alumacc.cc:485:replace_alu$5025 creating $alu cell for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041: $auto$alumacc.cc:485:replace_alu$5028 creating $alu cell for $flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043: $auto$alumacc.cc:485:replace_alu$5031 creating $alu cell for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$1025: $auto$alumacc.cc:485:replace_alu$5034 creating $alu cell for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$1041: $auto$alumacc.cc:485:replace_alu$5037 creating $alu cell for $flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$1043: $auto$alumacc.cc:485:replace_alu$5040 creating $alu cell for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1206: $auto$alumacc.cc:485:replace_alu$5043 creating $alu cell for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1217: $auto$alumacc.cc:485:replace_alu$5046 creating $alu cell for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1155: $auto$alumacc.cc:485:replace_alu$5049 creating $alu cell for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1166: $auto$alumacc.cc:485:replace_alu$5052 creating $alu cell for $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$701: $auto$alumacc.cc:485:replace_alu$5055 creating $alu cell for $flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:441$876: $auto$alumacc.cc:485:replace_alu$5058 creating $alu cell for $flatten\Riskow.\PC.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:20$107: $auto$alumacc.cc:485:replace_alu$5061 creating $alu cell for $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:42$15, $flatten\Riskow.\alu.$lt$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:36$9: $auto$alumacc.cc:485:replace_alu$5064 creating $alu cell for $flatten\Riskow.\alu.$ge$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:41$14, $flatten\Riskow.\alu.$lt$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:35$8, $flatten\Riskow.\alu.$eq$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:43$16, $flatten\Riskow.\alu.$ne$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:44$17: $auto$alumacc.cc:485:replace_alu$5079 creating $alu cell for $flatten\Riskow.\alu.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:30$3: $auto$alumacc.cc:485:replace_alu$5094 creating $alu cell for $flatten\Riskow.\alu.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:31$4: $auto$alumacc.cc:485:replace_alu$5097 creating $alu cell for $flatten\Riskow.\ins.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:466$879: $auto$alumacc.cc:485:replace_alu$5100 creating $alu cell for $flatten\Riskow.\ins.$sub$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:383$873: $auto$alumacc.cc:485:replace_alu$5103 created 32 $alu and 1 $macc cells. 17.23. Executing OPT pass (performing simple optimizations). 17.23.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.23.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.23.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.23.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.23.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 17.23.6. Executing OPT_DFF pass (perform DFF optimizations). 17.23.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1 unused cells and 15 unused wires. 17.23.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.23.9. Rerunning OPT passes. (Maybe there is more to do..) 17.23.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.23.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.23.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.23.13. Executing OPT_DFF pass (perform DFF optimizations). 17.23.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.23.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.23.16. Finished OPT passes. (There is nothing left to do.) 17.24. Executing MEMORY pass. 17.24.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 17.24.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Performed a total of 0 transformations. 17.24.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing processorci_top.Controller.Data_Memory.memory write port 0. Analyzing processorci_top.Controller.Memory.memory write port 0. Analyzing processorci_top.Controller.Uart.RX_FIFO.memory write port 0. Analyzing processorci_top.Controller.Uart.TX_FIFO.memory write port 0. Analyzing processorci_top.Riskow.registers.registers write port 0. 17.24.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 17.24.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Checking read port `\Controller.Data_Memory.memory'[0] in module `\processorci_top': no output FF found. Checking read port `\Controller.Memory.memory'[0] in module `\processorci_top': no output FF found. Checking read port `\Controller.Uart.RX_FIFO.memory'[0] in module `\processorci_top': merging output FF to cell. Write port 0: non-transparent. Checking read port `\Controller.Uart.TX_FIFO.memory'[0] in module `\processorci_top': merging output FF to cell. Write port 0: non-transparent. Checking read port `\Riskow.registers.registers'[0] in module `\processorci_top': no output FF found. Checking read port `\Riskow.registers.registers'[1] in module `\processorci_top': no output FF found. Checking read port address `\Controller.Data_Memory.memory'[0] in module `\processorci_top': no address FF found. Checking read port address `\Controller.Memory.memory'[0] in module `\processorci_top': no address FF found. Checking read port address `\Riskow.registers.registers'[0] in module `\processorci_top': address FF has fully-defined init value, not supported. Checking read port address `\Riskow.registers.registers'[1] in module `\processorci_top': address FF has fully-defined init value, not supported. 17.24.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 2 unused cells and 18 unused wires. 17.24.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Consolidating read ports of memory processorci_top.Riskow.registers.registers by address: 17.24.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 17.24.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.24.10. Executing MEMORY_COLLECT pass (generating $mem cells). 17.25. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.26. Executing MEMORY_LIBMAP pass (mapping memories to cells). mapping memory processorci_top.Controller.Data_Memory.memory via $__TRELLIS_DPR16X4_ mapping memory processorci_top.Controller.Memory.memory via $__TRELLIS_DPR16X4_ mapping memory processorci_top.Controller.Uart.RX_FIFO.memory via $__TRELLIS_DPR16X4_ Extracted data FF from read port 0 of processorci_top.Controller.Uart.RX_FIFO.memory: $\Controller.Uart.RX_FIFO.memory$rdreg[0] mapping memory processorci_top.Controller.Uart.TX_FIFO.memory via $__TRELLIS_DPR16X4_ Extracted data FF from read port 0 of processorci_top.Controller.Uart.TX_FIFO.memory: $\Controller.Uart.TX_FIFO.memory$rdreg[0] mapping memory processorci_top.Riskow.registers.registers via $__TRELLIS_DPR16X4_ 17.27. Executing TECHMAP pass (map to technology primitives). 17.27.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/lutrams_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/lutrams_map.v' to AST representation. Generating RTLIL representation for module `\$__TRELLIS_DPR16X4_'. Successfully finished Verilog frontend. 17.27.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/brams_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/brams_map.v' to AST representation. Generating RTLIL representation for module `\$__ECP5_DP16KD_'. Generating RTLIL representation for module `\$__ECP5_PDPW16KD_'. Successfully finished Verilog frontend. 17.27.3. Continuing TECHMAP pass. Using template $paramod$23110e440dd343be7ccff453e7838cea1dda03ba\$__TRELLIS_DPR16X4_ for cells of type $__TRELLIS_DPR16X4_. Using template $paramod$04778961cc1285a5efea28f98f28381eb2862208\$__TRELLIS_DPR16X4_ for cells of type $__TRELLIS_DPR16X4_. No more expansions possible. 17.28. Executing OPT pass (performing simple optimizations). 17.28.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.28.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.28.3. Executing OPT_DFF pass (perform DFF optimizations). Adding EN signal on $flatten\ResetBootSystem.$procdff$4078 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$0\state[1:0], Q = \ResetBootSystem.state). Adding SRST signal on $auto$ff.cc:266:slice$4829 ($dffe) from module processorci_top (D = $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1099_Y, Q = \Controller.Interpreter.memory_page_number, rval = 24'000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$4768 ($dffe) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$3115_Y [1:0], Q = \Controller.Interpreter.temp_buffer [1:0]). Adding SRST signal on $auto$ff.cc:266:slice$4617 ($dffe) from module processorci_top (D = $flatten\ResetBootSystem.$procmux$3817_Y, Q = \ResetBootSystem.counter, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4614 ($sdffe) from module processorci_top (D = $flatten\Riskow.\PC.$procmux$3803_Y [1:0], Q = \Riskow.PC.programCounter [1:0]). Adding EN signal on $auto$ff.cc:266:slice$4482 ($sdffe) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2356_Y, Q = \Riskow.ins.pcDataIn). Adding EN signal on $auto$ff.cc:266:slice$4462 ($sdffe) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2296_Y, Q = \Riskow.ins.pcWriteEnable). Adding EN signal on $auto$ff.cc:266:slice$4400 ($sdffe) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2159_Y, Q = \Riskow.ins.aluOp). Adding EN signal on $auto$ff.cc:266:slice$4384 ($sdffe) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2069_Y, Q = \Riskow.ins.aluX). Adding EN signal on $auto$ff.cc:266:slice$4372 ($sdffe) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$2004_Y, Q = \Riskow.ins.aluY). Adding EN signal on $auto$ff.cc:266:slice$4305 ($sdffe) from module processorci_top (D = $flatten\Riskow.\ins.$procmux$1842_Y, Q = \Riskow.ins.wRegRegNum). 17.28.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 6 unused cells and 7475 unused wires. 17.28.5. Rerunning OPT passes. (Removed registers in this run.) 17.28.6. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.28.7. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 3 cells. 17.28.8. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $auto$ff.cc:266:slice$7506 ($sdffce) from module processorci_top (D = $auto$wreduce.cc:461:run$4946 [5:0], Q = \ResetBootSystem.counter, rval = 6'000000). 17.28.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1 unused cells and 19 unused wires. 17.28.10. Rerunning OPT passes. (Removed registers in this run.) 17.28.11. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.28.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.28.13. Executing OPT_DFF pass (perform DFF optimizations). 17.28.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.28.15. Finished fast OPT passes. 17.29. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 17.30. Executing OPT pass (performing simple optimizations). 17.30.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.30.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.30.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.30.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7548: { $auto$opt_dff.cc:194:make_patterns_logic$7543 $auto$opt_dff.cc:194:make_patterns_logic$7545 $auto$rtlil.cc:2493:Not$4241 $auto$opt_dff.cc:194:make_patterns_logic$4320 $auto$opt_dff.cc:194:make_patterns_logic$4318 $auto$opt_dff.cc:194:make_patterns_logic$4316 $auto$opt_dff.cc:194:make_patterns_logic$4314 $auto$opt_dff.cc:194:make_patterns_logic$4312 $auto$opt_dff.cc:194:make_patterns_logic$4310 $auto$opt_dff.cc:194:make_patterns_logic$4308 $auto$opt_dff.cc:194:make_patterns_logic$4306 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7536: { $auto$opt_dff.cc:194:make_patterns_logic$7533 $auto$opt_dff.cc:194:make_patterns_logic$4375 $auto$opt_dff.cc:194:make_patterns_logic$4373 $auto$opt_dff.cc:194:make_patterns_logic$4391 $auto$rtlil.cc:2493:Not$4241 $auto$opt_dff.cc:194:make_patterns_logic$4345 $auto$rtlil.cc:2493:Not$4301 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7526: { $auto$opt_dff.cc:194:make_patterns_logic$7514 $auto$opt_dff.cc:194:make_patterns_logic$7516 $auto$opt_dff.cc:194:make_patterns_logic$4471 $auto$opt_dff.cc:194:make_patterns_logic$4469 $auto$opt_dff.cc:194:make_patterns_logic$4467 $auto$opt_dff.cc:194:make_patterns_logic$4465 $auto$opt_dff.cc:194:make_patterns_logic$4463 $auto$rtlil.cc:2493:Not$4456 $auto$rtlil.cc:2493:Not$4454 $auto$rtlil.cc:2493:Not$4301 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7504: { $auto$opt_dff.cc:194:make_patterns_logic$7501 $auto$opt_dff.cc:194:make_patterns_logic$4769 $auto$opt_dff.cc:194:make_patterns_logic$4771 $auto$fsm_map.cc:74:implement_pattern_cache$4226 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7519: { $auto$opt_dff.cc:194:make_patterns_logic$7514 $auto$opt_dff.cc:194:make_patterns_logic$7516 $auto$opt_dff.cc:194:make_patterns_logic$4493 $auto$opt_dff.cc:194:make_patterns_logic$4487 $auto$opt_dff.cc:194:make_patterns_logic$4485 $auto$opt_dff.cc:194:make_patterns_logic$4471 $auto$opt_dff.cc:194:make_patterns_logic$4467 $auto$opt_dff.cc:194:make_patterns_logic$4465 $auto$opt_dff.cc:194:make_patterns_logic$4463 $auto$rtlil.cc:2493:Not$4456 $auto$rtlil.cc:2493:Not$4454 $auto$rtlil.cc:2493:Not$4241 $auto$rtlil.cc:2493:Not$4301 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7531: { $auto$opt_dff.cc:194:make_patterns_logic$7528 $auto$opt_dff.cc:194:make_patterns_logic$4375 $auto$opt_dff.cc:194:make_patterns_logic$4373 $auto$opt_dff.cc:194:make_patterns_logic$4411 $auto$opt_dff.cc:194:make_patterns_logic$4409 $auto$opt_dff.cc:194:make_patterns_logic$4407 $auto$opt_dff.cc:194:make_patterns_logic$4405 $auto$rtlil.cc:2493:Not$4241 $auto$rtlil.cc:2493:Not$4301 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7541: { $auto$opt_dff.cc:194:make_patterns_logic$7533 $auto$opt_dff.cc:194:make_patterns_logic$4375 $auto$opt_dff.cc:194:make_patterns_logic$4373 $auto$rtlil.cc:2493:Not$4241 $auto$rtlil.cc:2493:Not$4301 } Consolidated identical input bits for $mux cell $flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$715: Old ports: A=\Riskow.ins.address [11:0], B={ \Controller.Interpreter.memory_page_number [5:0] \Riskow.ins.address [5:0] }, Y=$auto$wreduce.cc:461:run$4913 [11:0] New ports: A=\Riskow.ins.address [11:6], B=\Controller.Interpreter.memory_page_number [5:0], Y=$auto$wreduce.cc:461:run$4913 [11:6] New connections: $auto$wreduce.cc:461:run$4913 [5:0] = \Riskow.ins.address [5:0] Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$2855: Old ports: A=3'011, B=3'100, Y=$auto$wreduce.cc:461:run$4916 [2:0] New ports: A=2'01, B=2'10, Y={ $auto$wreduce.cc:461:run$4916 [2] $auto$wreduce.cc:461:run$4916 [0] } New connections: $auto$wreduce.cc:461:run$4916 [1] = $auto$wreduce.cc:461:run$4916 [0] Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$2860: Old ports: A=7'1010010, B=7'0010101, Y=$auto$wreduce.cc:461:run$4917 [6:0] New ports: A=2'10, B=2'01, Y=$auto$wreduce.cc:461:run$4917 [1:0] New connections: $auto$wreduce.cc:461:run$4917 [6:2] = { $auto$wreduce.cc:461:run$4917 [1] 3'010 $auto$wreduce.cc:461:run$4917 [0] } Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$2871: Old ports: A=4'1100, B=4'0000, Y=$auto$wreduce.cc:461:run$4918 [3:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:461:run$4918 [2] New connections: { $auto$wreduce.cc:461:run$4918 [3] $auto$wreduce.cc:461:run$4918 [1:0] } = { $auto$wreduce.cc:461:run$4918 [2] 2'00 } Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$2881: Old ports: A=4'1001, B=4'0000, Y=$auto$wreduce.cc:461:run$4920 [3:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:461:run$4920 [0] New connections: $auto$wreduce.cc:461:run$4920 [3:1] = { $auto$wreduce.cc:461:run$4920 [0] 2'00 } Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$2895: Old ports: A=7'0000110, B=7'1010111, Y=$auto$wreduce.cc:461:run$4922 [6:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:461:run$4922 [0] New connections: $auto$wreduce.cc:461:run$4922 [6:1] = { $auto$wreduce.cc:461:run$4922 [0] 1'0 $auto$wreduce.cc:461:run$4922 [0] 3'011 } Consolidated identical input bits for $pmux cell $flatten\Controller.\Interpreter.$procmux$3290: Old ports: A=8'00001011, B=302978816, Y=$flatten\Controller.\Interpreter.$procmux$3290_Y New ports: A=5'01011, B=20'10010011111011100000, Y=$flatten\Controller.\Interpreter.$procmux$3290_Y [4:0] New connections: $flatten\Controller.\Interpreter.$procmux$3290_Y [7:5] = 3'000 Consolidated identical input bits for $pmux cell $flatten\Controller.\Interpreter.$procmux$3415: Old ports: A={ 8'00000000 \Controller.Interpreter.communication_buffer [31:8] }, B={ 40'0000000000000000000000000001010000000000 \Controller.Interpreter.timeout [23:0] }, Y=$flatten\Controller.\Interpreter.$procmux$3415_Y New ports: A=\Controller.Interpreter.communication_buffer [31:8], B={ 24'000000000000000000010100 \Controller.Interpreter.timeout [23:0] }, Y=$flatten\Controller.\Interpreter.$procmux$3415_Y [23:0] New connections: $flatten\Controller.\Interpreter.$procmux$3415_Y [31:24] = 8'00000000 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$3425: $auto$opt_reduce.cc:134:opt_pmux$4148 Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.$procmux$3629: Old ports: A=4'0000, B={ 1'0 $auto$wreduce.cc:461:run$4928 [2:0] 12'000100100011 }, Y=$flatten\Controller.\Uart.$procmux$3629_Y New ports: A=3'000, B={ $auto$wreduce.cc:461:run$4928 [2:0] 9'001010011 }, Y=$flatten\Controller.\Uart.$procmux$3629_Y [2:0] New connections: $flatten\Controller.\Uart.$procmux$3629_Y [3] = 1'0 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.$procmux$3637: Old ports: A=3'000, B=3'100, Y=$auto$wreduce.cc:461:run$4928 [2:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:461:run$4928 [2] New connections: $auto$wreduce.cc:461:run$4928 [1:0] = 2'00 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.$procmux$3713: Old ports: A=4'0010, B=4'0100, Y=$flatten\Controller.\Uart.$procmux$3713_Y New ports: A=2'01, B=2'10, Y=$flatten\Controller.\Uart.$procmux$3713_Y [2:1] New connections: { $flatten\Controller.\Uart.$procmux$3713_Y [3] $flatten\Controller.\Uart.$procmux$3713_Y [0] } = 2'00 Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.\i_uart_rx.$procmux$1414: Old ports: A=3'000, B={ 2'00 $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:117$1192_Y [0] 1'0 $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:118$1193_Y [1:0] 2'01 \Controller.Uart.i_uart_rx.payload_done 1'0 $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195_Y [1:0] }, Y=\Controller.Uart.i_uart_rx.n_fsm_state New ports: A=2'00, B={ 1'0 $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:117$1192_Y [0] $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:118$1193_Y [1:0] 1'1 \Controller.Uart.i_uart_rx.payload_done $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195_Y [1:0] }, Y=\Controller.Uart.i_uart_rx.n_fsm_state [1:0] New connections: \Controller.Uart.i_uart_rx.n_fsm_state [2] = 1'0 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195: Old ports: A=2'11, B=2'00, Y=$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195_Y [1:0] New ports: A=1'1, B=1'0, Y=$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195_Y [0] New connections: $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195_Y [1] = $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1195_Y [0] Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.\i_uart_tx.$procmux$1551: Old ports: A=3'000, B={ 2'00 \Controller.Uart.uart_tx_en 1'0 $auto$wreduce.cc:461:run$4943 [1:0] 2'01 \Controller.Uart.i_uart_tx.payload_done 1'0 $auto$wreduce.cc:461:run$4945 [1:0] }, Y=\Controller.Uart.i_uart_tx.n_fsm_state New ports: A=2'00, B={ 1'0 \Controller.Uart.uart_tx_en $auto$wreduce.cc:461:run$4943 [1:0] 1'1 \Controller.Uart.i_uart_tx.payload_done $auto$wreduce.cc:461:run$4945 [1:0] }, Y=\Controller.Uart.i_uart_tx.n_fsm_state [1:0] New connections: \Controller.Uart.i_uart_tx.n_fsm_state [2] = 1'0 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1138: Old ports: A=2'11, B=2'00, Y=$auto$wreduce.cc:461:run$4945 [1:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:461:run$4945 [0] New connections: $auto$wreduce.cc:461:run$4945 [1] = $auto$wreduce.cc:461:run$4945 [0] New ctrl vector for $pmux cell $flatten\ResetBootSystem.$procmux$3828: { $flatten\ResetBootSystem.$procmux$3821_CMP $flatten\ResetBootSystem.$procmux$3820_CMP } Consolidated identical input bits for $mux cell $flatten\ResetBootSystem.$procmux$3831: Old ports: A=2'00, B=2'10, Y=$flatten\ResetBootSystem.$procmux$3831_Y New ports: A=1'0, B=1'1, Y=$flatten\ResetBootSystem.$procmux$3831_Y [1] New connections: $flatten\ResetBootSystem.$procmux$3831_Y [0] = 1'0 Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$1597: Old ports: A={ \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19:12] \Riskow.ins.dataIn [20] \Riskow.ins.dataIn [30:21] 1'0 }, B={ \Riskow.ins.dataIn [31:12] 12'000000000000 }, Y=$flatten\Riskow.\ins.$procmux$1597_Y New ports: A={ \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [19] \Riskow.ins.dataIn [20] \Riskow.ins.dataIn [30:21] }, B={ \Riskow.ins.dataIn [31:20] 11'00000000000 }, Y={ $flatten\Riskow.\ins.$procmux$1597_Y [31:20] $flatten\Riskow.\ins.$procmux$1597_Y [11:1] } New connections: { $flatten\Riskow.\ins.$procmux$1597_Y [19:12] $flatten\Riskow.\ins.$procmux$1597_Y [0] } = { \Riskow.ins.dataIn [19:12] 1'0 } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$1607: Old ports: A={ \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31:20] }, B={ 20'00000000000000000000 \Riskow.ins.dataIn [31:20] }, Y=$flatten\Riskow.\ins.$procmux$1607_Y New ports: A=\Riskow.ins.dataIn [31], B=1'0, Y=$flatten\Riskow.\ins.$procmux$1607_Y [12] New connections: { $flatten\Riskow.\ins.$procmux$1607_Y [31:13] $flatten\Riskow.\ins.$procmux$1607_Y [11:0] } = { $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] $flatten\Riskow.\ins.$procmux$1607_Y [12] \Riskow.ins.dataIn [31:20] } Consolidated identical input bits for $pmux cell $flatten\Riskow.\ins.$procmux$1701: Old ports: A=4'0100, B={ 1'0 $auto$wreduce.cc:461:run$4952 [2:0] 8'01100000 }, Y=$flatten\Riskow.\ins.$procmux$1701_Y New ports: A=3'100, B={ $auto$wreduce.cc:461:run$4952 [2:0] 6'110000 }, Y=$flatten\Riskow.\ins.$procmux$1701_Y [2:0] New connections: $flatten\Riskow.\ins.$procmux$1701_Y [3] = 1'0 Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$1705: Old ports: A=3'000, B=3'101, Y=$auto$wreduce.cc:461:run$4952 [2:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:461:run$4952 [0] New connections: $auto$wreduce.cc:461:run$4952 [2:1] = { $auto$wreduce.cc:461:run$4952 [0] 1'0 } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$2335: Old ports: A=99552740, B={ $flatten\Riskow.\ins.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:466$879_Y [31:2] \Riskow.alu.result [1] 1'0 }, Y=$flatten\Riskow.\ins.$procmux$2335_Y New ports: A=31'0000010111101111000011011110010, B={ $flatten\Riskow.\ins.$add$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:466$879_Y [31:2] \Riskow.alu.result [1] }, Y=$flatten\Riskow.\ins.$procmux$2335_Y [31:1] New connections: $flatten\Riskow.\ins.$procmux$2335_Y [0] = 1'0 Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$2648: Old ports: A={ \Riskow.ins.dataIn [31:24] \Riskow.ins.regOutB [7:0] \Riskow.ins.dataIn [15:0] }, B={ \Riskow.ins.regOutB [15:0] \Riskow.ins.dataIn [15:0] }, Y=$flatten\Riskow.\ins.$procmux$2648_Y New ports: A=\Riskow.ins.dataIn [31:24], B=\Riskow.ins.regOutB [15:8], Y=$flatten\Riskow.\ins.$procmux$2648_Y [31:24] New connections: $flatten\Riskow.\ins.$procmux$2648_Y [23:0] = { \Riskow.ins.regOutB [7:0] \Riskow.ins.dataIn [15:0] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$2652: Old ports: A={ \Riskow.ins.dataIn [31:16] \Riskow.ins.regOutB [7:0] \Riskow.ins.dataIn [7:0] }, B={ \Riskow.ins.dataIn [31:24] \Riskow.ins.regOutB [15:0] \Riskow.ins.dataIn [7:0] }, Y=$flatten\Riskow.\ins.$procmux$2652_Y New ports: A=\Riskow.ins.dataIn [23:16], B=\Riskow.ins.regOutB [15:8], Y=$flatten\Riskow.\ins.$procmux$2652_Y [23:16] New connections: { $flatten\Riskow.\ins.$procmux$2652_Y [31:24] $flatten\Riskow.\ins.$procmux$2652_Y [15:0] } = { \Riskow.ins.dataIn [31:24] \Riskow.ins.regOutB [7:0] \Riskow.ins.dataIn [7:0] } Consolidated identical input bits for $pmux cell $flatten\Riskow.\ins.$procmux$2656: Old ports: A={ \Riskow.ins.dataIn [31:8] \Riskow.ins.regOutB [7:0] }, B={ \Riskow.ins.dataIn [31:16] \Riskow.ins.regOutB [15:0] \Riskow.ins.regOutB }, Y=$flatten\Riskow.\ins.$procmux$2656_Y New ports: A=\Riskow.ins.dataIn [31:8], B={ \Riskow.ins.dataIn [31:16] \Riskow.ins.regOutB [15:8] \Riskow.ins.regOutB [31:8] }, Y=$flatten\Riskow.\ins.$procmux$2656_Y [31:8] New connections: $flatten\Riskow.\ins.$procmux$2656_Y [7:0] = \Riskow.ins.regOutB [7:0] Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$2706: Old ports: A={ \Riskow.alu.result [31:2] 2'00 }, B=99552736, Y=$flatten\Riskow.\ins.$procmux$2706_Y New ports: A=\Riskow.alu.result [31:2], B=30'000001011110111100001101111000, Y=$flatten\Riskow.\ins.$procmux$2706_Y [31:2] New connections: $flatten\Riskow.\ins.$procmux$2706_Y [1:0] = 2'00 Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:268$867: Old ports: A=4'0111, B=4'1000, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:268$867_Y New ports: A=2'01, B=2'10, Y={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:268$867_Y [3] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:268$867_Y [0] } New connections: $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:268$867_Y [2:1] = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:268$867_Y [0] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:268$867_Y [0] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:301$870: Old ports: A=4'0111, B=4'1000, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:301$870_Y New ports: A=2'01, B=2'10, Y={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:301$870_Y [3] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:301$870_Y [0] } New connections: $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:301$870_Y [2:1] = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:301$870_Y [0] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:301$870_Y [0] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892: Old ports: A={ \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [7:0] }, B={ 24'000000000000000000000000 \Riskow.ins.dataIn [7:0] }, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y New ports: A=\Riskow.ins.dataIn [7], B=1'0, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] New connections: { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [31:9] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [7:0] } = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] \Riskow.ins.dataIn [7:0] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894: Old ports: A={ \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15:0] }, B={ 16'0000000000000000 \Riskow.ins.dataIn [15:0] }, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y New ports: A=\Riskow.ins.dataIn [15], B=1'0, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] New connections: { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [31:17] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [15:0] } = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] \Riskow.ins.dataIn [15:0] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896: Old ports: A={ \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15] \Riskow.ins.dataIn [15:8] }, B={ 24'000000000000000000000000 \Riskow.ins.dataIn [15:8] }, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y New ports: A=\Riskow.ins.dataIn [15], B=1'0, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] New connections: { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [31:9] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [7:0] } = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] \Riskow.ins.dataIn [15:8] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898: Old ports: A={ \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23:8] }, B={ 16'0000000000000000 \Riskow.ins.dataIn [23:8] }, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y New ports: A=\Riskow.ins.dataIn [23], B=1'0, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] New connections: { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [31:17] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [15:0] } = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] \Riskow.ins.dataIn [23:8] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900: Old ports: A={ \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23] \Riskow.ins.dataIn [23:16] }, B={ 24'000000000000000000000000 \Riskow.ins.dataIn [23:16] }, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y New ports: A=\Riskow.ins.dataIn [23], B=1'0, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] New connections: { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [31:9] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [7:0] } = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] \Riskow.ins.dataIn [23:16] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902: Old ports: A={ \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31:16] }, B={ 16'0000000000000000 \Riskow.ins.dataIn [31:16] }, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y New ports: A=\Riskow.ins.dataIn [31], B=1'0, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] New connections: { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [31:17] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [15:0] } = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] \Riskow.ins.dataIn [31:16] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904: Old ports: A={ \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31:24] }, B={ 24'000000000000000000000000 \Riskow.ins.dataIn [31:24] }, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y New ports: A=\Riskow.ins.dataIn [31], B=1'0, Y=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] New connections: { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [31:9] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [7:0] } = { $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:552$904_Y [8] \Riskow.ins.dataIn [31:24] } Optimizing cells in module \processorci_top. Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.$procmux$3707: Old ports: A=4'0000, B={ 3'000 \Controller.Interpreter.communication_read $flatten\Controller.\Uart.$procmux$3713_Y 8'00010011 }, Y=$flatten\Controller.\Uart.$procmux$3707_Y New ports: A=3'000, B={ 2'00 \Controller.Interpreter.communication_read $flatten\Controller.\Uart.$procmux$3713_Y [2:1] 7'0001011 }, Y=$flatten\Controller.\Uart.$procmux$3707_Y [2:0] New connections: $flatten\Controller.\Uart.$procmux$3707_Y [3] = 1'0 Consolidated identical input bits for $mux cell $flatten\ResetBootSystem.$procmux$3837: Old ports: A=2'00, B=$flatten\ResetBootSystem.$procmux$3831_Y, Y=$flatten\ResetBootSystem.$procmux$3837_Y New ports: A=1'0, B=$flatten\ResetBootSystem.$procmux$3831_Y [1], Y=$flatten\ResetBootSystem.$procmux$3837_Y [1] New connections: $flatten\ResetBootSystem.$procmux$3837_Y [0] = 1'0 Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$1600: Old ports: A=$flatten\Riskow.\ins.$procmux$1597_Y, B={ \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [30:25] \Riskow.ins.dataIn [11:8] 1'0 }, Y=$flatten\Riskow.\ins.$procmux$1600_Y New ports: A={ $flatten\Riskow.\ins.$procmux$1597_Y [31:20] \Riskow.ins.dataIn [19:12] $flatten\Riskow.\ins.$procmux$1597_Y [11:1] }, B={ \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [31] \Riskow.ins.dataIn [7] \Riskow.ins.dataIn [30:25] \Riskow.ins.dataIn [11:8] }, Y=$flatten\Riskow.\ins.$procmux$1600_Y [31:1] New connections: $flatten\Riskow.\ins.$procmux$1600_Y [0] = 1'0 Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$1868: Old ports: A=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y, B=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y, Y=$flatten\Riskow.\ins.$procmux$1868_Y New ports: A={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:546$900_Y [8] }, B={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:547$902_Y [16] \Riskow.ins.dataIn [31:24] }, Y=$flatten\Riskow.\ins.$procmux$1868_Y [16:8] New connections: { $flatten\Riskow.\ins.$procmux$1868_Y [31:17] $flatten\Riskow.\ins.$procmux$1868_Y [7:0] } = { $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] $flatten\Riskow.\ins.$procmux$1868_Y [16] \Riskow.ins.dataIn [23:16] } Consolidated identical input bits for $mux cell $flatten\Riskow.\ins.$procmux$1872: Old ports: A=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y, B=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y, Y=$flatten\Riskow.\ins.$procmux$1872_Y New ports: A={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:539$896_Y [8] }, B={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:540$898_Y [16] \Riskow.ins.dataIn [23:16] }, Y=$flatten\Riskow.\ins.$procmux$1872_Y [16:8] New connections: { $flatten\Riskow.\ins.$procmux$1872_Y [31:17] $flatten\Riskow.\ins.$procmux$1872_Y [7:0] } = { $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] $flatten\Riskow.\ins.$procmux$1872_Y [16] \Riskow.ins.dataIn [15:8] } Consolidated identical input bits for $pmux cell $flatten\Riskow.\ins.$procmux$1876: Old ports: A=$flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y, B={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y \Riskow.ins.dataIn }, Y=$flatten\Riskow.\ins.$procmux$1876_Y New ports: A={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:531$892_Y [8] }, B={ $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] $flatten\Riskow.\ins.$ternary$/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:532$894_Y [16] \Riskow.ins.dataIn [15:8] \Riskow.ins.dataIn [31:8] }, Y=$flatten\Riskow.\ins.$procmux$1876_Y [31:8] New connections: $flatten\Riskow.\ins.$procmux$1876_Y [7:0] = \Riskow.ins.dataIn [7:0] Optimizing cells in module \processorci_top. Performed a total of 49 changes. 17.30.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 4 cells. 17.30.6. Executing OPT_DFF pass (perform DFF optimizations). 17.30.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 6 unused cells and 10 unused wires. 17.30.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.30.9. Rerunning OPT passes. (Maybe there is more to do..) 17.30.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.30.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.30.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.30.13. Executing OPT_DFF pass (perform DFF optimizations). Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$4648 ($sdff) from module processorci_top. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$4692 ($sdffe) from module processorci_top. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$4720 ($sdffe) from module processorci_top. Setting constant 0-bit at position 5 on $auto$ff.cc:266:slice$4822 ($sdffe) from module processorci_top. Setting constant 0-bit at position 6 on $auto$ff.cc:266:slice$4822 ($sdffe) from module processorci_top. Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$4822 ($sdffe) from module processorci_top. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$4849 ($dffe) from module processorci_top. 17.30.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.30.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.30.16. Rerunning OPT passes. (Maybe there is more to do..) 17.30.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.30.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$2865: Old ports: A=8'00000100, B={ 3'000 \Controller.Interpreter.return_state [4:0] }, Y=$flatten\Controller.\Interpreter.$procmux$2865_Y New ports: A=5'00100, B=\Controller.Interpreter.return_state [4:0], Y=$flatten\Controller.\Interpreter.$procmux$2865_Y [4:0] New connections: $flatten\Controller.\Interpreter.$procmux$2865_Y [7:5] = 3'000 Optimizing cells in module \processorci_top. Consolidated identical input bits for $pmux cell $flatten\Controller.\Interpreter.$procmux$2843: Old ports: A=8'00000000, B={ 7'0000000 $auto$wreduce.cc:461:run$4926 [0] 6'000000 $auto$wreduce.cc:461:run$4919 [1:0] 1'0 $auto$wreduce.cc:461:run$4924 [6:0] 14'00001101000011 $auto$wreduce.cc:461:run$4923 [1:0] 3'000 \Controller.Interpreter.return_state [4:0] 1'0 $auto$wreduce.cc:461:run$4922 [6] 1'0 $auto$wreduce.cc:461:run$4922 [6] 3'011 $auto$wreduce.cc:461:run$4922 [6] 7'0000011 \Controller.Uart.read_response 4'0000 $auto$wreduce.cc:461:run$4918 [3] 2'00 $auto$wreduce.cc:461:run$4918 [3] 6'000010 $auto$wreduce.cc:461:run$4919 [1:0] 20'00001000000010110000 $auto$wreduce.cc:461:run$4918 [3] $auto$wreduce.cc:461:run$4918 [3] 18'000000010100000100 $flatten\Controller.\Interpreter.$procmux$2865_Y 1'0 $auto$wreduce.cc:461:run$4917 [6] 3'010 $auto$wreduce.cc:461:run$4917 [2] $auto$wreduce.cc:461:run$4917 [6] $auto$wreduce.cc:461:run$4917 [2] 13'0001001100010 $auto$wreduce.cc:461:run$4916 [2:1] $auto$wreduce.cc:461:run$4916 [1] 31'0001011001010010000100000001000 $auto$wreduce.cc:461:run$4915 [0] 8'00000011 }, Y=$flatten\Controller.\Interpreter.$procmux$2843_Y New ports: A=7'0000000, B={ 6'000000 $auto$wreduce.cc:461:run$4926 [0] 5'00000 $auto$wreduce.cc:461:run$4919 [1:0] $auto$wreduce.cc:461:run$4924 [6:0] 12'000110100011 $auto$wreduce.cc:461:run$4923 [1:0] 2'00 \Controller.Interpreter.return_state [4:0] $auto$wreduce.cc:461:run$4922 [6] 1'0 $auto$wreduce.cc:461:run$4922 [6] 3'011 $auto$wreduce.cc:461:run$4922 [6] 6'000011 \Controller.Uart.read_response 3'000 $auto$wreduce.cc:461:run$4918 [3] 2'00 $auto$wreduce.cc:461:run$4918 [3] 5'00010 $auto$wreduce.cc:461:run$4919 [1:0] 17'00010000001011000 $auto$wreduce.cc:461:run$4918 [3] $auto$wreduce.cc:461:run$4918 [3] 18'000000101000010000 $flatten\Controller.\Interpreter.$procmux$2865_Y [4:0] $auto$wreduce.cc:461:run$4917 [6] 3'010 $auto$wreduce.cc:461:run$4917 [2] $auto$wreduce.cc:461:run$4917 [6] $auto$wreduce.cc:461:run$4917 [2] 11'00100110010 $auto$wreduce.cc:461:run$4916 [2:1] $auto$wreduce.cc:461:run$4916 [1] 27'001011010100100010000001000 $auto$wreduce.cc:461:run$4915 [0] 7'0000011 }, Y=$flatten\Controller.\Interpreter.$procmux$2843_Y [6:0] New connections: $flatten\Controller.\Interpreter.$procmux$2843_Y [7] = 1'0 Optimizing cells in module \processorci_top. Performed a total of 2 changes. 17.30.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.30.20. Executing OPT_DFF pass (perform DFF optimizations). 17.30.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.30.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.30.23. Rerunning OPT passes. (Maybe there is more to do..) 17.30.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.30.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.30.26. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.30.27. Executing OPT_DFF pass (perform DFF optimizations). Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$4877 ($sdff) from module processorci_top. 17.30.28. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.30.29. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.30.30. Rerunning OPT passes. (Maybe there is more to do..) 17.30.31. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 17.30.32. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.30.33. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.30.34. Executing OPT_DFF pass (perform DFF optimizations). 17.30.35. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.30.36. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.30.37. Finished OPT passes. (There is nothing left to do.) 17.31. Executing TECHMAP pass (map to technology primitives). 17.31.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/techmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 17.31.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/arith_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/arith_map.v' to AST representation. Generating RTLIL representation for module `\_80_ecp5_alu'. Successfully finished Verilog frontend. 17.31.3. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $dffe. Using extmapper simplemap for cells of type $ne. Using extmapper simplemap for cells of type $reduce_and. Using extmapper simplemap for cells of type $or. Using extmapper simplemap for cells of type $reduce_or. Using extmapper simplemap for cells of type $dff. Using extmapper simplemap for cells of type $logic_not. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $eq. Using extmapper simplemap for cells of type $reduce_bool. Using extmapper simplemap for cells of type $bmux. Using extmapper simplemap for cells of type $sdff. Using template $paramod$eaeb96106163dbf82031649d189817109fe07c69\_90_demux for cells of type $demux. Using extmapper simplemap for cells of type $lut. Using template $paramod$e04283ca12514baf3d204c6994bec8f178dd89f8\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $sdffe. Using template $paramod$c04af8dbf0e5d1d69bbccb2c7bd8a93fc9ef54dc\_80_ecp5_alu for cells of type $alu. Using template $paramod$c3cd1564c35d873179656addd6052d7ea8b6d991\_80_ecp5_alu for cells of type $alu. Using template $paramod$039520c137afc9cd69dd56c3fb11a4e1fbe5f664\_80_ecp5_alu for cells of type $alu. Using template $paramod$bdc78fe5225b43c64ccdf13e5eb67d9dcf228e8a\_80_ecp5_alu for cells of type $alu. Using template $paramod$ff467a932a72bec5a93c27dba04bf3daba067451\_80_ecp5_alu for cells of type $alu. Using template $paramod$3ef7d3dd227da7627a99c5e5a6a4deb817573e39\_90_alu for cells of type $alu. Using template $paramod$6a42b6fefed750f8a1c58eab59479d960557103c\_80_ecp5_alu for cells of type $alu. Using template $paramod$79aa992f2eb7f354d4aaf651790713cf239111fa\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $logic_or. Using template $paramod$c9511eeb847f2aa95252b1013477609463f67ee0\_80_ecp5_alu for cells of type $alu. Using template $paramod$a7b312e4ba8fdc79d4c5e0789e4e6c41ea3896fb\_90_pmux for cells of type $pmux. Using template $paramod$7a385d5b7a2e603dbc5beef838648775b433f248\_90_pmux for cells of type $pmux. Using template $paramod$54a4503cc57b9df40b70c1899504d6aac2650719\_90_pmux for cells of type $pmux. Using template $paramod$59b03ae2620a41577de8da5f5c97b2919e82362b\_90_pmux for cells of type $pmux. Using template $paramod$24fb226dd75c9d3f6955ec2ad61d794776778cf6\_90_pmux for cells of type $pmux. Using template $paramod$cc1e387d9d5ac1d3f6e6bed180038d9c0ac48d0c\_90_pmux for cells of type $pmux. Using template $paramod$8fabc56b80a569262acfc42757a02ca0b8e91278\_90_pmux for cells of type $pmux. Using template $paramod$54d740639e1393b22262823179ff783ea9f17a35\_90_pmux for cells of type $pmux. Using template $paramod$32efbfac1c4dc57230cf86180788fdfd12e3b511\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $logic_and. Using template $paramod$d31bf4d7d72e59528d18fbd4f322e9d608532043\_90_pmux for cells of type $pmux. Using template $paramod$32a7b7b86c07519b7537abc18e96f0331f97914d\_90_alu for cells of type $alu. Using template $paramod$ac45afa5bcd8e16ca475cce13f9d19bb109f1516\_80_ecp5_alu for cells of type $alu. Using template $paramod$068ad458e7761d78e5eed8238508872e7b0aef95\_90_pmux for cells of type $pmux. Using template $paramod$53700bbee849b2010ad0b60a61ccd204a10e24ca\_80_ecp5_alu for cells of type $alu. Using extmapper maccmap for cells of type $macc. add \Riskow.PC.programCounter (32 bits, unsigned) add \Riskow.ins.pcDataIn (32 bits, unsigned) add 32'11111111111111111111111111111100 (32 bits, unsigned) Using template $paramod$44a13d10af618e7fbe7b9aad2f6151ffcee1e2fa\_80_ecp5_alu for cells of type $alu. Using template $paramod$861f5302217787cd55fd1a501bc728125f176580\_80_ecp5_alu for cells of type $alu. Using template $paramod$c2e415ef15bc3ccd2723772353a6b450d3d76206\_90_pmux for cells of type $pmux. Using template $paramod$bf2533632d512eac76dd186c0da49367e29b8e98\_90_pmux for cells of type $pmux. Using template $paramod$85df5dc01c7df96a7d8e5f1fdf76ce9ac452af63\_90_pmux for cells of type $pmux. Using extmapper simplemap for cells of type $xor. Using template $paramod$95ab7b964273918a033d1324366ecc612d202989\_90_pmux for cells of type $pmux. Using template $paramod$33afdd83bf3811dac2de7a968d39eea5718691bc\_90_pmux for cells of type $pmux. Using extmapper simplemap for cells of type $sdffce. Using template $paramod$c5c783b17ab1d780abfad8cfe6563a0a7b47a3b0\_90_pmux for cells of type $pmux. Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\_80_ecp5_alu for cells of type $alu. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$feecc7a0dbd012970970f2858f15e786e251f677\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shr. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$e765c459d3029c22a22a27989e94858fd9ebfa9c\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshr. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$335cfd09f1afa8139c4aafcbbe5f361887b79c5e\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shl. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$499d093a7b6f5712d766919008f2d12aba5138f2\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshl. Using template $paramod$f1fc4bc1d42e857fca43ce0fdd0da6ff25c612c4\_90_pmux for cells of type $pmux. Using extmapper simplemap for cells of type $dlatch. Using template $paramod$2653f68ddb8eab7b1907b4a20767b72a824a7a36\_80_ecp5_alu for cells of type $alu. Using template $paramod$521ce43182eecb9f60c72393a788160d2c356bf5\_90_pmux for cells of type $pmux. Using template $paramod$610911f8769324f781128d641f7fe2120143c328\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $pos. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000011 for cells of type $lcu. Using template $paramod$46c272b461898a6a76adeeeedb0917ce1a81b478\_90_demux for cells of type $demux. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000100 for cells of type $lcu. Using template $paramod\_90_fa\WIDTH=32'00000000000000000000000000100000 for cells of type $fa. Using template $paramod$63301a5e78ceb1f2c17a4ac1894f292ed5701c1f\_90_demux for cells of type $demux. Using template $paramod$5d1d2614b24accd0f9d06c4779fd9ef771faf494\_90_demux for cells of type $demux. No more expansions possible. 17.32. Executing OPT pass (performing simple optimizations). 17.32.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.32.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 1943 cells. 17.32.3. Executing OPT_DFF pass (perform DFF optimizations). 17.32.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1909 unused cells and 5829 unused wires. 17.32.5. Finished fast OPT passes. 17.33. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.34. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 17.35. Executing TECHMAP pass (map to technology primitives). 17.35.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. Generating RTLIL representation for module `\$_DFFE_PN_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_DFFE_NP0N_'. Generating RTLIL representation for module `\$_DFFE_NP1N_'. Generating RTLIL representation for module `\$_DFFE_PP0N_'. Generating RTLIL representation for module `\$_DFFE_PP1N_'. Generating RTLIL representation for module `\$_SDFFE_NP0P_'. Generating RTLIL representation for module `\$_SDFFE_NP1P_'. Generating RTLIL representation for module `\$_SDFFE_PP0P_'. Generating RTLIL representation for module `\$_SDFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFFE_NP0N_'. Generating RTLIL representation for module `\$_SDFFE_NP1N_'. Generating RTLIL representation for module `\$_SDFFE_PP0N_'. Generating RTLIL representation for module `\$_SDFFE_PP1N_'. Generating RTLIL representation for module `\$_ALDFF_NP_'. Generating RTLIL representation for module `\$_ALDFF_PP_'. Generating RTLIL representation for module `\$_ALDFFE_NPN_'. Generating RTLIL representation for module `\$_ALDFFE_NPP_'. Generating RTLIL representation for module `\$_ALDFFE_PPN_'. Generating RTLIL representation for module `\$_ALDFFE_PPP_'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Successfully finished Verilog frontend. 17.35.2. Continuing TECHMAP pass. Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFFE_PP_. Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'0 for cells of type $_DFFE_PP_. Using template \$_SDFFE_PP0P_ for cells of type $_SDFFE_PP0P_. Using template \$_SDFF_PP0_ for cells of type $_SDFF_PP0_. Using template $paramod\$_DFF_P_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFF_P_. Using template \$_SDFF_PP1_ for cells of type $_SDFF_PP1_. Using template \$_SDFFE_PP0N_ for cells of type $_SDFFE_PP0N_. Using template \$_SDFFE_PP1P_ for cells of type $_SDFFE_PP1P_. Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'1 for cells of type $_DFFE_PP_. No more expansions possible. 17.36. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.37. Executing SIMPLEMAP pass (map simple cells to gate primitives). 17.38. Executing LATTICE_GSR pass (implement FF init values). Handling GSR in processorci_top. 17.39. Executing ATTRMVCP pass (move or copy attributes). 17.40. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 5190 unused wires. 17.41. Executing TECHMAP pass (map to technology primitives). 17.41.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/latches_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/latches_map.v' to AST representation. Generating RTLIL representation for module `\$_DLATCH_N_'. Generating RTLIL representation for module `\$_DLATCH_P_'. Successfully finished Verilog frontend. 17.41.2. Continuing TECHMAP pass. 17.41.3. Executing SIMPLEMAP pass (map simple cells to gate primitives). Mapping \$_DLATCH_N_.$ternary$/eda/oss-cad-suite/lib/../share/yosys/ecp5/latches_map.v:4$40926 ($mux). Mapping \$_DLATCH_N_.$logic_not$/eda/oss-cad-suite/lib/../share/yosys/ecp5/latches_map.v:4$40925 ($logic_not). 17.41.4. Executing OPT pass (performing simple optimizations). 17.41.4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module \$_DLATCH_N_. Couldn't topologically sort cells, optimizing module \$_DLATCH_N_ may take a longer time. Couldn't topologically sort cells, optimizing module \$_DLATCH_N_ may take a longer time. Couldn't topologically sort cells, optimizing module \$_DLATCH_N_ may take a longer time. 17.41.4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\$_DLATCH_N_'. Removed a total of 0 cells. 17.41.4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \$_DLATCH_N_.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 17.41.4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \$_DLATCH_N_. Performed a total of 0 changes. 17.41.4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\$_DLATCH_N_'. Removed a total of 0 cells. 17.41.4.6. Executing OPT_DFF pass (perform DFF optimizations). 17.41.4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \$_DLATCH_N_.. Removed 1 unused cells and 2 unused wires. 17.41.4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module \$_DLATCH_N_. Couldn't topologically sort cells, optimizing module \$_DLATCH_N_ may take a longer time. Couldn't topologically sort cells, optimizing module \$_DLATCH_N_ may take a longer time. 17.41.4.9. Rerunning OPT passes. (Maybe there is more to do..) 17.41.4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \$_DLATCH_N_.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 17.41.4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \$_DLATCH_N_. Performed a total of 0 changes. 17.41.4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\$_DLATCH_N_'. Removed a total of 0 cells. 17.41.4.13. Executing OPT_DFF pass (perform DFF optimizations). 17.41.4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \$_DLATCH_N_.. 17.41.4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module \$_DLATCH_N_. Couldn't topologically sort cells, optimizing module \$_DLATCH_N_ may take a longer time. Couldn't topologically sort cells, optimizing module \$_DLATCH_N_ may take a longer time. 17.41.4.16. Finished OPT passes. (There is nothing left to do.) Using template \$_DLATCH_N_ for cells of type $_DLATCH_N_. No more expansions possible. 17.42. Executing ABC9 pass. 17.42.1. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.2. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.3. Executing PROC pass (convert processes to netlists). 17.42.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41030'. Cleaned up 1 empty switch. 17.42.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41031 in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Removed a total of 0 dead cases. 17.42.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 22 assignments to connections. 17.42.3.4. Executing PROC_INIT pass (extract init attributes). 17.42.3.5. Executing PROC_ARST pass (detect async resets in processes). 17.42.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 17.42.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41031'. 1/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41029_EN[3:0]$41035 2/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41029_DATA[3:0]$41036 3/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41029_ADDR[3:0]$41037 Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41030'. 17.42.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 17.42.3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.\i' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41013_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41014_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41019_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41020_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41025_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41015_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41026_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41021_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41016_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41027_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41022_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41028_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41018_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41024_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41023_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41017_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41029_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41031'. created $dff cell `$procdff$41081' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41029_DATA' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41031'. created $dff cell `$procdff$41082' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41029_ADDR' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41031'. created $dff cell `$procdff$41083' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.\muxwre' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41030'. created direct connection (no actual register cell created). 17.42.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 17.42.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41055'. Found and cleaned up 1 empty switch in `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41031'. Removing empty process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41030'. Cleaned up 1 empty switch. 17.42.3.12. Executing OPT_EXPR pass (perform const folding). 17.42.4. Executing PROC pass (convert processes to netlists). 17.42.4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41101'. Cleaned up 1 empty switch. 17.42.4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41102 in module $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4. Removed a total of 0 dead cases. 17.42.4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 22 assignments to connections. 17.42.4.4. Executing PROC_INIT pass (extract init attributes). 17.42.4.5. Executing PROC_ARST pass (detect async resets in processes). 17.42.4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 17.42.4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. Creating decoders for process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41102'. 1/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41100_EN[3:0]$41106 2/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41100_DATA[3:0]$41107 3/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41100_ADDR[3:0]$41108 Creating decoders for process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41101'. 17.42.4.8. Executing PROC_DLATCH pass (convert process syncs to latches). 17.42.4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.\i' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41090_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41089_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41085_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41084_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41096_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41095_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41091_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41097_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41086_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41092_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41098_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41094_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41088_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41099_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41093_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$41087_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. created direct connection (no actual register cell created). Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41100_EN' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41102'. created $dff cell `$procdff$41152' with positive edge clock. Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41100_DATA' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41102'. created $dff cell `$procdff$41153' with positive edge clock. Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$41100_ADDR' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41102'. created $dff cell `$procdff$41154' with positive edge clock. Creating register for signal `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.\muxwre' using process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41101'. created direct connection (no actual register cell created). 17.42.4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 17.42.4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$41126'. Found and cleaned up 1 empty switch in `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$41102'. Removing empty process `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$41101'. Cleaned up 1 empty switch. 17.42.4.12. Executing OPT_EXPR pass (perform const folding). 17.42.5. Executing SCC pass (detecting logic loops). Found an SCC: $techmap$auto$ff.cc:266:slice$23431.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23430.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23429.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23428.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23427.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23426.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23425.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23424.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23423.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23422.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23421.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23420.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23419.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23418.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23417.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23416.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23415.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23414.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23413.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23412.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23411.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23410.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23409.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23408.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23407.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23406.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23405.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23404.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23403.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23402.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23401.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $techmap$auto$ff.cc:266:slice$23400.$auto$simplemap.cc:267:simplemap_mux$40928 Found an SCC: $auto$simplemap.cc:126:simplemap_reduce$20295 $auto$simplemap.cc:38:simplemap_not$10486 $auto$simplemap.cc:38:simplemap_not$27800 $auto$ff.cc:266:slice$20054 $auto$ff.cc:479:convert_ce_over_srst$39952 $auto$alumacc.cc:485:replace_alu$4966.slice[0].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$7685 $auto$opt_expr.cc:617:replace_const_cells$39512 $auto$ff.cc:266:slice$20053 $auto$ff.cc:479:convert_ce_over_srst$39950 $auto$simplemap.cc:126:simplemap_reduce$7689 $auto$simplemap.cc:126:simplemap_reduce$7686 $auto$simplemap.cc:38:simplemap_not$27802 $auto$ff.cc:266:slice$20056 $auto$ff.cc:479:convert_ce_over_srst$39956 $auto$ff.cc:266:slice$20057 $auto$ff.cc:479:convert_ce_over_srst$39958 $auto$ff.cc:266:slice$20058 $auto$ff.cc:479:convert_ce_over_srst$39960 $auto$simplemap.cc:126:simplemap_reduce$23726 $auto$simplemap.cc:75:simplemap_bitop$7683 $auto$simplemap.cc:38:simplemap_not$10691 $auto$alumacc.cc:485:replace_alu$4966.slice[4].ccu2c_i $auto$alumacc.cc:485:replace_alu$4966.slice[2].ccu2c_i $auto$ff.cc:266:slice$20055 $auto$ff.cc:479:convert_ce_over_srst$39954 $auto$simplemap.cc:126:simplemap_reduce$20120 $auto$simplemap.cc:126:simplemap_reduce$20118 $auto$simplemap.cc:126:simplemap_reduce$20297 $auto$simplemap.cc:126:simplemap_reduce$20294 $auto$simplemap.cc:126:simplemap_reduce$7691 $auto$simplemap.cc:126:simplemap_reduce$7687 $auto$simplemap.cc:38:simplemap_not$32263 Found an SCC: $auto$opt_expr.cc:617:replace_const_cells$39350 $auto$ff.cc:266:slice$16754 $auto$simplemap.cc:126:simplemap_reduce$16866 $auto$simplemap.cc:126:simplemap_reduce$16881 $auto$ff.cc:266:slice$16753 $auto$ff.cc:266:slice$16752 $auto$opt_expr.cc:617:replace_const_cells$39366 $auto$simplemap.cc:267:simplemap_mux$32228 $auto$simplemap.cc:126:simplemap_reduce$32213 $auto$simplemap.cc:126:simplemap_reduce$32210 $auto$simplemap.cc:75:simplemap_bitop$32225 $auto$simplemap.cc:267:simplemap_mux$16850 $auto$simplemap.cc:225:simplemap_logbin$16853 $auto$simplemap.cc:196:simplemap_lognot$16870 $auto$simplemap.cc:126:simplemap_reduce$16868 $auto$simplemap.cc:126:simplemap_reduce$16865 $auto$opt_expr.cc:617:replace_const_cells$39502 $auto$simplemap.cc:126:simplemap_reduce$32215 $auto$simplemap.cc:75:simplemap_bitop$32223 $auto$simplemap.cc:196:simplemap_lognot$16885 $auto$simplemap.cc:126:simplemap_reduce$16883 $auto$simplemap.cc:126:simplemap_reduce$16880 $auto$ff.cc:266:slice$16751 $auto$simplemap.cc:126:simplemap_reduce$19646 $auto$simplemap.cc:126:simplemap_reduce$19644 $auto$simplemap.cc:225:simplemap_logbin$16807 $auto$simplemap.cc:196:simplemap_lognot$16817 $auto$simplemap.cc:126:simplemap_reduce$16815 $auto$opt_expr.cc:617:replace_const_cells$39364 $auto$simplemap.cc:267:simplemap_mux$32227 $auto$simplemap.cc:126:simplemap_reduce$32218 Found an SCC: $auto$ff.cc:266:slice$16592 $auto$opt_expr.cc:617:replace_const_cells$39172 $auto$ff.cc:266:slice$16591 $auto$simplemap.cc:126:simplemap_reduce$16701 $auto$simplemap.cc:126:simplemap_reduce$16732 $auto$opt_expr.cc:617:replace_const_cells$39170 $auto$ff.cc:266:slice$16590 $auto$simplemap.cc:38:simplemap_not$32204 $auto$ff.cc:266:slice$16589 $auto$simplemap.cc:126:simplemap_reduce$16704 $auto$simplemap.cc:126:simplemap_reduce$16700 $auto$simplemap.cc:126:simplemap_reduce$16735 $auto$simplemap.cc:126:simplemap_reduce$16731 $auto$simplemap.cc:38:simplemap_not$32203 $auto$ff.cc:266:slice$16588 $auto$simplemap.cc:38:simplemap_not$32202 $auto$ff.cc:266:slice$16587 $auto$simplemap.cc:126:simplemap_reduce$16730 $auto$simplemap.cc:126:simplemap_reduce$16699 $auto$simplemap.cc:38:simplemap_not$32201 $auto$ff.cc:266:slice$16586 $auto$ff.cc:266:slice$16585 $auto$simplemap.cc:196:simplemap_lognot$16741 $auto$simplemap.cc:126:simplemap_reduce$16739 $auto$simplemap.cc:126:simplemap_reduce$16737 $auto$simplemap.cc:126:simplemap_reduce$16734 $auto$simplemap.cc:126:simplemap_reduce$16729 $auto$opt_expr.cc:617:replace_const_cells$39504 $auto$simplemap.cc:196:simplemap_lognot$16710 $auto$simplemap.cc:126:simplemap_reduce$16708 $auto$simplemap.cc:126:simplemap_reduce$16706 $auto$simplemap.cc:126:simplemap_reduce$16703 $auto$simplemap.cc:126:simplemap_reduce$16698 $auto$ff.cc:266:slice$16584 $auto$simplemap.cc:167:logic_reduce$7718 $auto$simplemap.cc:225:simplemap_logbin$16682 $auto$simplemap.cc:225:simplemap_logbin$16683 Found an SCC: $auto$ff.cc:266:slice$16763 $auto$opt_expr.cc:617:replace_const_cells$39362 $auto$ff.cc:266:slice$16762 $auto$simplemap.cc:126:simplemap_reduce$16903 $auto$opt_expr.cc:617:replace_const_cells$39360 $auto$ff.cc:266:slice$16761 $auto$ff.cc:266:slice$16760 $auto$ff.cc:266:slice$16759 $auto$opt_expr.cc:617:replace_const_cells$39356 $auto$ff.cc:266:slice$16758 $auto$simplemap.cc:126:simplemap_reduce$16901 $auto$ff.cc:266:slice$16757 $auto$ff.cc:266:slice$16756 $auto$simplemap.cc:126:simplemap_reduce$16905 $auto$simplemap.cc:126:simplemap_reduce$16900 $auto$opt_expr.cc:617:replace_const_cells$39514 $auto$ff.cc:266:slice$16755 $auto$simplemap.cc:126:simplemap_reduce$19470 $auto$simplemap.cc:196:simplemap_lognot$16912 $auto$simplemap.cc:126:simplemap_reduce$16910 $auto$simplemap.cc:126:simplemap_reduce$16908 $auto$simplemap.cc:126:simplemap_reduce$16906 $auto$simplemap.cc:126:simplemap_reduce$16902 $auto$simplemap.cc:38:simplemap_not$32308 Found 36 SCCs in module processorci_top. Found 36 SCCs. 17.42.6. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.7. Executing PROC pass (convert processes to netlists). 17.42.7.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 17.42.7.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 17.42.7.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 17.42.7.4. Executing PROC_INIT pass (extract init attributes). 17.42.7.5. Executing PROC_ARST pass (detect async resets in processes). 17.42.7.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 17.42.7.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 17.42.7.8. Executing PROC_DLATCH pass (convert process syncs to latches). 17.42.7.9. Executing PROC_DFF pass (convert process syncs to FFs). 17.42.7.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 17.42.7.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 17.42.7.12. Executing OPT_EXPR pass (perform const folding). 17.42.8. Executing TECHMAP pass (map to technology primitives). 17.42.8.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/techmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 17.42.8.2. Continuing TECHMAP pass. No more expansions possible. 17.42.9. Executing OPT pass (performing simple optimizations). 17.42.9.1. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Optimizing module $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4. 17.42.9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4'. Finding identical cells in module `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4'. Removed a total of 0 cells. 17.42.9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.. Creating internal representation of mux trees. No muxes found in this module. Running muxtree optimizer on module $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 17.42.9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Optimizing cells in module $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4. Performed a total of 0 changes. 17.42.9.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4'. Finding identical cells in module `$paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4'. Removed a total of 0 cells. 17.42.9.6. Executing OPT_DFF pass (perform DFF optimizations). 17.42.9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.. Finding unused cells or wires in module $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4.. 17.42.9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Optimizing module $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4. 17.42.9.9. Finished OPT passes. (There is nothing left to do.) 17.42.10. Executing TECHMAP pass (map to technology primitives). 17.42.10.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/abc9_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/abc9_map.v' to AST representation. Successfully finished Verilog frontend. 17.42.10.2. Continuing TECHMAP pass. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Using template $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4 for cells of type $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4. No more expansions possible. 17.42.11. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/abc9_model.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/abc9_model.v' to AST representation. Generating RTLIL representation for module `$__ABC9_DELAY'. Generating RTLIL representation for module `$__ABC9_SCC_BREAKER'. Generating RTLIL representation for module `$__DFF_N__$abc9_flop'. Generating RTLIL representation for module `$__DFF_P__$abc9_flop'. Successfully finished Verilog frontend. 17.42.12. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.13. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.14. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.15. Executing TECHMAP pass (map to technology primitives). 17.42.15.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/techmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 17.42.15.2. Continuing TECHMAP pass. Using template $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C for cells of type $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C. Using template $paramod\LUT4\INIT=16'1001011010101010 for cells of type LUT4. Using template $paramod\LUT2\INIT=4'1010 for cells of type LUT2. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $or. Using extmapper simplemap for cells of type $mux. No more expansions possible. 17.42.16. Executing OPT pass (performing simple optimizations). 17.42.16.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.42.16.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 17.42.16.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 17.42.16.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.42.16.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.42.16.6. Executing OPT_DFF pass (perform DFF optimizations). 17.42.16.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 55 unused wires. 17.42.16.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.42.16.9. Rerunning OPT passes. (Maybe there is more to do..) 17.42.16.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 17.42.16.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 17.42.16.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 17.42.16.13. Executing OPT_DFF pass (perform DFF optimizations). 17.42.16.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 17.42.16.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 17.42.16.16. Finished OPT passes. (There is nothing left to do.) 17.42.17. Executing AIGMAP pass (map logic to AIG). Module processorci_top: replaced 18 cells with 120 new cells, skipped 39 cells. replaced 3 cell types: 2 $_OR_ 2 $_XOR_ 14 $_MUX_ not replaced 3 cell types: 31 $specify2 4 $_NOT_ 4 $_AND_ 17.42.18. Executing AIGMAP pass (map logic to AIG). Module processorci_top: replaced 8390 cells with 54095 new cells, skipped 5018 cells. replaced 3 cell types: 1545 $_OR_ 186 $_XOR_ 6659 $_MUX_ not replaced 10 cell types: 16 $scopeinfo 395 $_NOT_ 1299 $_AND_ 915 TRELLIS_FF 1 $__ABC9_SCC_BREAKER 16 $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4_$abc9_byp 1028 $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp 16 $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4 1028 $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 304 $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C 17.42.18.1. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.18.2. Executing ABC9_OPS pass (helper functions for ABC9). 17.42.18.3. Executing XAIGER backend. Extracted 23379 AND gates and 67237 wires from module `processorci_top' to a netlist network with 5134 inputs and 1282 outputs. 17.42.18.4. Executing ABC9_EXE pass (technology mapping using ABC9). 17.42.18.5. Executing ABC9. Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_lut /input.lut ABC: + read_box /input.box ABC: + &read /input.xaig ABC: + &ps ABC: /input : i/o = 5134/ 1282 and = 21681 lev = 42 (1.17) mem = 0.59 MB box = 1348 bb = 1044 ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 1 carries. ABC: + &scorr ABC: Warning: The network is combinational. ABC: + &sweep ABC: + &dc2 ABC: + &dch -f ABC: + &ps ABC: /input : i/o = 5134/ 1282 and = 26096 lev = 27 (1.04) mem = 0.64 MB ch = 2577 box = 1332 bb = 1044 ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 1 carries. ABC: + &if -W 300 -v ABC: K = 7. Memory (bytes): Truth = 0. Cut = 64. Obj = 148. Set = 672. CutMin = no ABC: Node = 26096. Ch = 1870. Total mem = 7.59 MB. Peak cut mem = 0.25 MB. ABC: P: Del = 5560.00. Ar = 19892.0. Edge = 25408. Cut = 306419. T = 0.14 sec ABC: P: Del = 5508.00. Ar = 19670.0. Edge = 25485. Cut = 303283. T = 0.14 sec ABC: P: Del = 5508.00. Ar = 9336.0. Edge = 23759. Cut = 734712. T = 0.31 sec ABC: F: Del = 5507.00. Ar = 6903.0. Edge = 21265. Cut = 567038. T = 0.25 sec ABC: A: Del = 5504.00. Ar = 6644.0. Edge = 20203. Cut = 548286. T = 0.37 sec ABC: A: Del = 5504.00. Ar = 6609.0. Edge = 20147. Cut = 569515. T = 0.38 sec ABC: Total time = 1.60 sec ABC: + &write -n /output.aig ABC: + &mfs ABC: + &ps -l ABC: /input : i/o = 5134/ 1282 and = 16413 lev = 30 (1.05) mem = 0.53 MB box = 1332 bb = 1044 ABC: Mapping (K=7) : lut = 5119 edge = 20049 lev = 11 (0.54) Boxes are not in a topological order. Switching to level computation without boxes. ABC: levB = 30 mem = 0.26 MB ABC: LUT = 5119 : 2=431 8.4 % 3=701 13.7 % 4=3036 59.3 % 5=831 16.2 % 6=55 1.1 % 7=65 1.3 % Ave = 3.92 ABC: Warning: AIG with boxes has internal fanout in 0 complex flops and 1 carries. ABC: + &write -n /output.aig ABC: + time ABC: elapse: 9.89 seconds, total: 9.89 seconds 17.42.18.6. Executing AIGER frontend. Removed 22004 unused cells and 49278 unused wires. 17.42.18.7. Executing ABC9_OPS pass (helper functions for ABC9). ABC RESULTS: $lut cells: 5131 ABC RESULTS: $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4_$abc9_byp cells: 16 ABC RESULTS: $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp cells: 1028 ABC RESULTS: $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C cells: 288 ABC RESULTS: input signals: 1130 ABC RESULTS: output signals: 262 Removing temp directory. 17.42.19. Executing TECHMAP pass (map to technology primitives). 17.42.19.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/abc9_unmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/abc9_unmap.v' to AST representation. Generating RTLIL representation for module `\$__DFF_x__$abc9_flop'. Generating RTLIL representation for module `\$__ABC9_SCC_BREAKER'. Successfully finished Verilog frontend. 17.42.19.2. Continuing TECHMAP pass. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp. Using template $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C for cells of type $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C. Using template $paramod\$__ABC9_SCC_BREAKER\WIDTH=32'00000000000000000000000000100110 for cells of type $__ABC9_SCC_BREAKER. Using template $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4 for cells of type $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4. Using template $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4_$abc9_byp for cells of type $paramod$e65cfc0fa558124bdcdce13f023dbd05639efacd\TRELLIS_DPR16X4_$abc9_byp. No more expansions possible. Removed 479 unused cells and 83249 unused wires. 17.43. Executing TECHMAP pass (map to technology primitives). 17.43.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. Generating RTLIL representation for module `\$_DFFE_PN_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_DFFE_NP0N_'. Generating RTLIL representation for module `\$_DFFE_NP1N_'. Generating RTLIL representation for module `\$_DFFE_PP0N_'. Generating RTLIL representation for module `\$_DFFE_PP1N_'. Generating RTLIL representation for module `\$_SDFFE_NP0P_'. Generating RTLIL representation for module `\$_SDFFE_NP1P_'. Generating RTLIL representation for module `\$_SDFFE_PP0P_'. Generating RTLIL representation for module `\$_SDFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFFE_NP0N_'. Generating RTLIL representation for module `\$_SDFFE_NP1N_'. Generating RTLIL representation for module `\$_SDFFE_PP0N_'. Generating RTLIL representation for module `\$_SDFFE_PP1N_'. Generating RTLIL representation for module `\$_ALDFF_NP_'. Generating RTLIL representation for module `\$_ALDFF_PP_'. Generating RTLIL representation for module `\$_ALDFFE_NPN_'. Generating RTLIL representation for module `\$_ALDFFE_NPP_'. Generating RTLIL representation for module `\$_ALDFFE_PPN_'. Generating RTLIL representation for module `\$_ALDFFE_PPP_'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Generating RTLIL representation for module `\$lut'. Successfully finished Verilog frontend. 17.43.2. Continuing TECHMAP pass. Using template $paramod$571404c0889eaf57f492cb5e37f8acb5df5852f9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10000000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0010 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011000 for cells of type $lut. Using template $paramod$a5516fc31d1e552de2435200bb732b4d4ad63a9c\$lut for cells of type $lut. Using template $paramod$34d8179c3f4e5c9b014184678126740a73fec819\$lut for cells of type $lut. Using template $paramod$a1d323730045824cfc84bb9f4ee8031f1c4dcc9e\$lut for cells of type $lut. Using template $paramod$7929d818ff6cdab401756b40b10229878e94bd76\$lut for cells of type $lut. Using template $paramod$6e238df02989b317f10820a22773676e71120644\$lut for cells of type $lut. Using template $paramod$658b9ed803f0d3d335616d3858b53e0a2522f1e8\$lut for cells of type $lut. Using template $paramod$990f0fb2b3d003f8b16e47a6e2203c03f05c2581\$lut for cells of type $lut. Using template $paramod$ff58554493773336c4e06dc62f25c37448f98c7b\$lut for cells of type $lut. Using template $paramod$272652f6c6fbe9a75eff76e45cc7e2788835518b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010000 for cells of type $lut. Using template $paramod$e3f15dbf386eb2d9773236c73b6cf924ec3294ee\$lut for cells of type $lut. Using template $paramod$e54349d9a634ecff5f53629ed023a0262d334efb\$lut for cells of type $lut. Using template $paramod$179512a187da069f3b79ef6612a41e494e7d54b6\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010100 for cells of type $lut. Using template $paramod$2014354416722209de7d48370ab008bc2278a034\$lut for cells of type $lut. Using template $paramod$018d71a0fe325d6362687fe53ac13dd6340e400d\$lut for cells of type $lut. Using template $paramod$b68f9800cc1bf69afcfbc0567a25e43ebb01456c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001011 for cells of type $lut. Using template $paramod$369624b024132c6d54ca5ca0dec0683515f4f203\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110010 for cells of type $lut. Using template $paramod$a5dd9ee10fc2202a29791f7d68d4afcce241aee5\$lut for cells of type $lut. Using template $paramod$2d07c1a6c53c7b878509360922c4fa5ebedc3011\$lut for cells of type $lut. Using template $paramod$b26fbfdb68e98cf016d61a8611b449e9f4a30f3c\$lut for cells of type $lut. Using template $paramod$81018019865ce3c4ae4ecb45a20b7a601d39d0c8\$lut for cells of type $lut. Using template $paramod$e2d96f36ef28053ecd27167cd95b944485ac3146\$lut for cells of type $lut. Using template $paramod$ea79e410ad0f4fc3326666c891e1f3992816d636\$lut for cells of type $lut. Using template $paramod$e01a027fedb28671a20c130493a89c7afd4e87d3\$lut for cells of type $lut. Using template $paramod$6d6beead1425af15cf78b27fd9b11b41b5d4bce8\$lut for cells of type $lut. Using template $paramod$ad5fa4c993dc43c3e00419e69284cb50b42316d6\$lut for cells of type $lut. Using template $paramod$e51a8a571bee774247b38f52d6e85fd62ae52cea\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010011 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01000000 for cells of type $lut. Using template $paramod$52953750219effadf43093a566baf492fdd6b6c8\$lut for cells of type $lut. Using template $paramod$f9813472aa48e533b3838c6f2316dc2e78c66111\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100000 for cells of type $lut. Using template $paramod$92568898f56c6c1f10d548eee3535cc30f59df8f\$lut for cells of type $lut. Using template $paramod$6b0849254d6c87461fb93e37cc18f089f61eb912\$lut for cells of type $lut. Using template $paramod$9b5ab165cda7cdf2bae1e9d47a089dea6ae048b7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110101 for cells of type $lut. Using template $paramod$ecd029e33d4996e20fb42a5545e8d86d0b046433\$lut for cells of type $lut. Using template $paramod$446d5374f1a9a50c11eb107999155fc0219be593\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001010 for cells of type $lut. Using template $paramod$3d420c45fcaf200a454408122dcbe0a4f1f1628e\$lut for cells of type $lut. Using template $paramod$717f66aee89077840479c83a1f79be61fd139731\$lut for cells of type $lut. Using template $paramod$873c285bdccf0ac2b60d2304ea5cd14bf211d2a6\$lut for cells of type $lut. Using template $paramod$fbd21a9bb4ab13c322fc19f4a6913afdb5c2813a\$lut for cells of type $lut. Using template $paramod$f189a0cd6c2869fe3ed7af637236929a9df5cb9d\$lut for cells of type $lut. Using template $paramod$ddace04fba544e6adc4cdda6a50048ddd7c111af\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0111 for cells of type $lut. Using template $paramod$a0ace0405adc1b24a4f6f724d40b691b1007968b\$lut for cells of type $lut. Using template $paramod$f8f63b209b7230e81958663ff24fef1613156af7\$lut for cells of type $lut. Using template $paramod$a9fc6e1212e2c97f661279eebf7fa89d410eb14a\$lut for cells of type $lut. Using template $paramod$da41f44a0d2000c9d7a9dece64d9da2921dc0a48\$lut for cells of type $lut. Using template $paramod$4b5500281b0ee445835723633bdf886a0cb50086\$lut for cells of type $lut. Using template $paramod$f3ada871809f362efd8eb0c4fb952bb5c98f0750\$lut for cells of type $lut. Using template $paramod$f87516bfb09cd07c8f22e5c0f5ed3b87bd79627b\$lut for cells of type $lut. Using template $paramod$66caeb00a39d236782a97659e3bd99621b74681b\$lut for cells of type $lut. Using template $paramod$c5fc75a3c76ca4b62ba5ce67ff8eaffd884835f1\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001000 for cells of type $lut. Using template $paramod$81d8a60fd95b1a9f9ef71c12a774ae6988cb9fd5\$lut for cells of type $lut. Using template $paramod$373d637619c29cb9150902df9528107e5f3b8288\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000010 for cells of type $lut. Using template $paramod$f0315d6003d354beedba111255ca7369b0f0d4ca\$lut for cells of type $lut. Using template $paramod$00ffcc628ccb870304683cac36ad3a16cc41b6a4\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001101 for cells of type $lut. Using template $paramod$2e9afba29670cc6475874639e7c1b3979c8ebde3\$lut for cells of type $lut. Using template $paramod$c1452011b7603572422609011981bec31cccac4c\$lut for cells of type $lut. Using template $paramod$436f5b2a701f46b4f2c40432561ff700e1d0a2c0\$lut for cells of type $lut. Using template $paramod$364c9ffbffac467d60dfec81bba4e18476c15602\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000001\LUT=2'01 for cells of type $lut. Using template $paramod$fe9a0158d0352193457c4f5b6282ac86d35fb3ee\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1000 for cells of type $lut. Using template $paramod$d0e2cda39391606d46b58a28438fbf4233177490\$lut for cells of type $lut. Using template $paramod$44acd4ecb288de7b1e9c94edee75dd7158ee1fc9\$lut for cells of type $lut. Using template $paramod$47a8214374025465e226fa66bee690ff33268a25\$lut for cells of type $lut. Using template $paramod$6de442abe246ff8c0692702d40d84ce1c07479d9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01111111 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001000 for cells of type $lut. Using template $paramod$8512f4fb47fa9596f76cdbe5b407a5b54df368e7\$lut for cells of type $lut. Using template $paramod$a47d3f6fd9a7aebdb1b556bc977da3380a17c8cf\$lut for cells of type $lut. Using template $paramod$edc5a73130589b9210f4bdf92e14bdcacac8945d\$lut for cells of type $lut. Using template $paramod$2de23df76a24087ecc0fa38a78ecc970cd3f2492\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1110 for cells of type $lut. Using template $paramod$20f3f4b8e32f8a8b038b0056872dc94926194798\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1011 for cells of type $lut. Using template $paramod$30305e55a780880b9c824fe3509a4d981acb0f2b\$lut for cells of type $lut. Using template $paramod$2382b0dd4cb27fd4312681c40a6dd179c2a7a26a\$lut for cells of type $lut. Using template $paramod$65d5d5c1e01bf41ee659754efba932f3d99198e5\$lut for cells of type $lut. Using template $paramod$43779580bfffd5d5a9f321249a174febf1dac288\$lut for cells of type $lut. Using template $paramod$b9f1cc075fd5e1dc13f6aa9fc152bf79a0f71ef7\$lut for cells of type $lut. Using template $paramod$b86b68a00733dbecb31d58a14a13683475a2002a\$lut for cells of type $lut. Using template $paramod$df196ed0a1da5c4a58c5e08a1dac304fd3fccaab\$lut for cells of type $lut. Using template $paramod$992bdc10cff2c6edd722994f0e1044bc863f79f7\$lut for cells of type $lut. Using template $paramod$f45429e380905f064bb0bad3a8bdb941708e63a7\$lut for cells of type $lut. Using template $paramod$8829675bb8c52553aed9f101ec0d5ef0c865e5c7\$lut for cells of type $lut. Using template $paramod$542e2fdf39f66ee0d9684372297ec1f9c72087d5\$lut for cells of type $lut. Using template $paramod$07e3200b46e556589d35d9f16cd7566ffe4879ca\$lut for cells of type $lut. Using template $paramod$903905cca899aab473483ca27c3db12d7108e3a5\$lut for cells of type $lut. Using template $paramod$200337237619ba4c0bed9a492562f1d1b57fb569\$lut for cells of type $lut. Using template $paramod$6f9324703e8fcc3b6df2bc2bec54ec19a446ae96\$lut for cells of type $lut. Using template $paramod$bba54c1ef87367812b4c15f4aed5ac70773df775\$lut for cells of type $lut. Using template $paramod$037be5c00d8a02858cdb1ab049b58a0133287ff1\$lut for cells of type $lut. Using template $paramod$eba7de026ff587370e320127e266317dae097a89\$lut for cells of type $lut. Using template $paramod$5f95f1a102f16406a0f2c01c6368d4adb205e05c\$lut for cells of type $lut. Using template $paramod$d44b4efaef4d3a93cd0038241385b0c150b09755\$lut for cells of type $lut. Using template $paramod$a197ef6f3b51d411ae3e5b42b5d77a606c4fb11a\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110000 for cells of type $lut. Using template $paramod$8f0127ae6e4e4fae3e6aa8d83144b199848e8539\$lut for cells of type $lut. Using template $paramod$e5759512db67494ff77fbdfc66dff4006376568f\$lut for cells of type $lut. Using template $paramod$11ec7271d8e6e5aeaace08c13e4c601f10e31038\$lut for cells of type $lut. Using template $paramod$2357431a20f3891da5d1d21801bc815b057e2dac\$lut for cells of type $lut. Using template $paramod$941a13d4b259d7c4ede5d9313af88314a37e5478\$lut for cells of type $lut. Using template $paramod$d7ec878ecfa8f5f7604d3e91692b5d4c2ee758ad\$lut for cells of type $lut. Using template $paramod$ab0b2f86668b4ceb1d5bf8df14e7e2fbac829c46\$lut for cells of type $lut. Using template $paramod$b51a34d9025f179ac3b0198c8334e4840dd632a0\$lut for cells of type $lut. Using template $paramod$1078665aa144cf7ff525a892db61e5cee078ce7c\$lut for cells of type $lut. Using template $paramod$cf652acbfbf67d2248e3045cd0f09c58ca55886c\$lut for cells of type $lut. Using template $paramod$130af793a2ec6ecceb3e0ef509cf6b180e856b6f\$lut for cells of type $lut. Using template $paramod$7cde7b88954806e8471e96d431f528ca227f6ccf\$lut for cells of type $lut. Using template $paramod$d80c9b99dacb354fa564a6fcc23ca30753bf6623\$lut for cells of type $lut. Using template $paramod$98e1d11683c0a22e595b32649124489a2d73a644\$lut for cells of type $lut. Using template $paramod$9bdc414229f06e785dc8fd97a243faa9336e164a\$lut for cells of type $lut. Using template $paramod$ea31cd0696358fba42eadbdd62de41dd2a761f54\$lut for cells of type $lut. Using template $paramod$150ee00bee81b2fcb159e621f4ef27bccb5ede20\$lut for cells of type $lut. Using template $paramod$e412821338883e25f2e0d1a1d7fada158db69807\$lut for cells of type $lut. Using template $paramod$5c269c7ae8ebe8ae616b450420108fd8f1bac4c4\$lut for cells of type $lut. Using template $paramod$c52a0db667d756fb2aeca0cb0f917d16f094893b\$lut for cells of type $lut. Using template $paramod$7abf0bd5a5bd3672eaba8951644e3a7f0bde69c0\$lut for cells of type $lut. Using template $paramod$fa3b30705cf3aa0fcd4b8d618b26502b2a458b14\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111000 for cells of type $lut. Using template $paramod$1be3a10aca64bc8b3d140a9dcfb9bac7a6744be9\$lut for cells of type $lut. Using template $paramod$bcb2971a779eb6ac1001603f5ea02b868dc85e12\$lut for cells of type $lut. Using template $paramod$253532b742d151c01e8e51f153c24d934b8f6185\$lut for cells of type $lut. Using template $paramod$1264c3f7e17be1155bea326c844f4bc220df93c6\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10110000 for cells of type $lut. Using template $paramod$b46dfeecc4abc3884384be72ed16a332addb302e\$lut for cells of type $lut. Using template $paramod$7921556ecc2f10de0cd8299fe77f2240688aee53\$lut for cells of type $lut. Using template $paramod$c9b834dc9c2f376b2a44311c706cb34f7f0a4014\$lut for cells of type $lut. Using template $paramod$b2a4860cd839ff40d9dca4c3f237b2b534267028\$lut for cells of type $lut. Using template $paramod$45cde473f57ed05b42bd50c05ebeb84593b0a57f\$lut for cells of type $lut. Using template $paramod$b431bfd938e35871dd0b7668e1503c7e8b9d491c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010011 for cells of type $lut. Using template $paramod$baa939b0bd5b3e0c8760492528669bd58f640542\$lut for cells of type $lut. Using template $paramod$bd0b78607ab7f5aa22b869c05e9b316b7eb9f9ee\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000110 for cells of type $lut. Using template $paramod$e6049326c8634f79a905c381bb150ca718b543fa\$lut for cells of type $lut. Using template $paramod$181733d3e31dcdcea8c52d0a4fc252b3aa453564\$lut for cells of type $lut. Using template $paramod$4b2297966ddb718657b80566604f97685ffc0120\$lut for cells of type $lut. Using template $paramod$01734a95f9dc3b6d9bc4f50465c7be9432fa0a75\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011100 for cells of type $lut. Using template $paramod$382b9045db805b7e1e5806689aa3b5e1c4a26c8b\$lut for cells of type $lut. Using template $paramod$7a09c632ebd39a203628a04507a2df81de3ade57\$lut for cells of type $lut. Using template $paramod$6c51c1ba6c39f0c09b896d52432b366f116bd3c1\$lut for cells of type $lut. Using template $paramod$2ea69c779d6c1b79ac5a87b0d1523c67d5628dba\$lut for cells of type $lut. Using template $paramod$f5f41ee5d60dede31a2b59f58ec46b167939d713\$lut for cells of type $lut. Using template $paramod$a23bde83d26849bc8c55e17143c36b6f506a1b34\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11010000 for cells of type $lut. Using template $paramod$6e46ec5a196ba1a24b8e69ab094cadc07c13ac1f\$lut for cells of type $lut. Using template $paramod$cb524bfa5025c75a34db1fd8a32c9b6024eb6300\$lut for cells of type $lut. Using template $paramod$8cac5452d526045503c5864c3a1dac0121c7053e\$lut for cells of type $lut. Using template $paramod$f55f4b90ec8e3e648d5c29eab1fa5ddd64b3f973\$lut for cells of type $lut. Using template $paramod$7c3833e617307006af30409ed68b65a011a1121e\$lut for cells of type $lut. Using template $paramod$be48d952fcad8a16b8d84daa4c48a3065f343e5e\$lut for cells of type $lut. Using template $paramod$4cab3b31c601551ff65536bf4f533afa0b2094ee\$lut for cells of type $lut. Using template $paramod$7177cb04e5f0ca35855ed40fa4f4e694738e0924\$lut for cells of type $lut. Using template $paramod$c28a8b7ce0535d090c4cfb52e9c74affd52b110c\$lut for cells of type $lut. Using template $paramod$95e3b069aff4a6adc0a3d90d66a109cc8ab110fa\$lut for cells of type $lut. Using template $paramod$5c32c59025c0b98f20e63f249d83e7ebb4b085e3\$lut for cells of type $lut. Using template $paramod$17fa8a939b0f8314dda6bb78f4f778f4e2f790ea\$lut for cells of type $lut. Using template $paramod$e4b832d686d12318ec0715f027fe549b42e45c20\$lut for cells of type $lut. Using template $paramod$6e64c13666511ae2ccc90ab6ddaf8be09bda5af2\$lut for cells of type $lut. Using template $paramod$5bbb720ff5b837624c96f163b9a2e5fa7478baf0\$lut for cells of type $lut. Using template $paramod$b93d1ea7a612a32c185108f67a153d44ffb9aac2\$lut for cells of type $lut. Using template $paramod$37cf57c4332e9b0179de4e30edd4d0c5838b1bf9\$lut for cells of type $lut. Using template $paramod$5e9374f44a27c3f8a1c38af244ec43ceb4fb8d4f\$lut for cells of type $lut. Using template $paramod$b600d182ae966d09f33a746441e104587fe7a58f\$lut for cells of type $lut. Using template $paramod$31f0a66a4b242b524303bfb4ac95c05ad74158f8\$lut for cells of type $lut. Using template $paramod$a077abf7f5e6621cefe1e8e13fd9dcab66e4d471\$lut for cells of type $lut. Using template $paramod$403ccd6140c8876f284b9fb81f45625ed4566784\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100111 for cells of type $lut. Using template $paramod$d49e1ef785b4da45fce5645e1ffa457badacf25f\$lut for cells of type $lut. Using template $paramod$2d70e360329f2b83357618532825d0cf30a325f3\$lut for cells of type $lut. Using template $paramod$7707bd5ce30d37e6293df4a4a79c0063cc588238\$lut for cells of type $lut. Using template $paramod$8c70644759e16aac152b0c5970129b23a6a134c7\$lut for cells of type $lut. Using template $paramod$bf9d12be9e757c28b1374b63e168615e76b4b315\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00011011 for cells of type $lut. Using template $paramod$20ba583962918fa0136fc97b1558cc45cc91cc29\$lut for cells of type $lut. Using template $paramod$82b4a585d1edcb5c6e755dc9bd3392228a1c1304\$lut for cells of type $lut. Using template $paramod$dbd6bfc0079f30bdbd8251243755394b48ae1fbb\$lut for cells of type $lut. Using template $paramod$1ca23e17bf41dc1e9eab64b373f9322defa25a58\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110001 for cells of type $lut. Using template $paramod$84fbdce090390ef6b3da299f14d3b510fb26e161\$lut for cells of type $lut. Using template $paramod$8075729953f49b6cb1b4d863b2fb20da9818d304\$lut for cells of type $lut. Using template $paramod$4c274551372e5e846c5a80986ee03383a4d3b57e\$lut for cells of type $lut. Using template $paramod$332a399730bfc61adea04021a76b1c4e4030f37d\$lut for cells of type $lut. Using template $paramod$1ad9ca75a5e52e69f39f16c0b8ffb14773a0b7f2\$lut for cells of type $lut. Using template $paramod$fb4ed309581bcd972f41afa8566ae8b9812c7f6c\$lut for cells of type $lut. Using template $paramod$02750f8d568bd99efbda01449a05e084a3143ca8\$lut for cells of type $lut. Using template $paramod$c9e052dcf89b5bb078fa6ded9821f4131383f4d0\$lut for cells of type $lut. Using template $paramod$6d05ee5be4fbc817e6482b590e1831ddde15ffbe\$lut for cells of type $lut. Using template $paramod$9e394303e290a474880b56f98766417009256d93\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000111 for cells of type $lut. Using template $paramod$b01e2d9b719a06aade9ee87c6f79499c37e7a593\$lut for cells of type $lut. Using template $paramod$f3e1547c4b47e64c590e75cf09078b2507c8cc75\$lut for cells of type $lut. Using template $paramod$fa45f28daf300aaa781ee4b9baa5ce968b1d8c66\$lut for cells of type $lut. Using template $paramod$865395c0228487a64a8e4011cecafc2c64b79f2b\$lut for cells of type $lut. Using template $paramod$0c31f8674d6b730afec588864e795ceca95d08fe\$lut for cells of type $lut. Using template $paramod$7edb465207a9448f131a4a31ca412f22b0da26de\$lut for cells of type $lut. Using template $paramod$54ef21ccddfa27629768f219f304bb4163ac6894\$lut for cells of type $lut. Using template $paramod$66658cbed86a8310f9b7ba1190d35eff90ee749b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001110 for cells of type $lut. Using template $paramod$d3185319b5c99da719afc2e6b2580a0af7d6917b\$lut for cells of type $lut. Using template $paramod$a191129d10a368b82781b98ff31865427345b51c\$lut for cells of type $lut. Using template $paramod$eaea85d27cc0950ed001348e061727a194f5cf9c\$lut for cells of type $lut. Using template $paramod$2b59ab7495ca9f180cd60148d54d86f9c4c19a9e\$lut for cells of type $lut. Using template $paramod$3170cf03349b88b5635e6c1c2df644e450ae489d\$lut for cells of type $lut. Using template $paramod$df6b12cebabc3b2db650658c5e894d03a346e968\$lut for cells of type $lut. Using template $paramod$5e96c51e862795fcf5123ad90ed33b3bddf109cb\$lut for cells of type $lut. Using template $paramod$37c9af120c85145419565a9ccf4ceb7397fbbe92\$lut for cells of type $lut. Using template $paramod$37203517188e0e81c6d1574dd1c274ed56646adf\$lut for cells of type $lut. Using template $paramod$097592bb16245531f0716c5ddb18d7090f9c7d9d\$lut for cells of type $lut. Using template $paramod$825b0db28ee9dc4dea74fce6d5c3e89a9030974a\$lut for cells of type $lut. Using template $paramod$608f40069c27841a5b3bdf03643a34bdc8974072\$lut for cells of type $lut. Using template $paramod$d76edc10344198fdbbc083cbc9765a888a1f48f2\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01000101 for cells of type $lut. Using template $paramod$101238f3d8d49ab12a9b49a2f01cd503b26e9c61\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001111 for cells of type $lut. Using template $paramod$bb4fff1cc3b827238aa40993cafede1c5beecbe3\$lut for cells of type $lut. Using template $paramod$ad9505a072670c24873fa40ff761b9b4e44c2357\$lut for cells of type $lut. Using template $paramod$8c24dc0cdd336b7fb88bbf7eed45cec5cbae862b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10010000 for cells of type $lut. Using template $paramod$78b4324556f6321a85bd440441a5392f271ea218\$lut for cells of type $lut. Using template $paramod$8e44661def013b6bf9fe6f8b049ef2c838d749f9\$lut for cells of type $lut. Using template $paramod$413d040dcd860cd74ca61a70644516a95d328ae7\$lut for cells of type $lut. Using template $paramod$bbf8c65f00b09f2cf68e6ca5410fa9a0c7a5e2b9\$lut for cells of type $lut. Using template $paramod$3c5eb16fa418cfbbe1710d24d17e7d0b5448c3c1\$lut for cells of type $lut. Using template $paramod$62068df6e5d05af597cb5d6516b3ccdf992fe51a\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001101 for cells of type $lut. Using template $paramod$fca001e3e0b52158a872e76e56c01ec10dfbb1de\$lut for cells of type $lut. Using template $paramod$f85f1073c412d406200a6a72283f918c8b751314\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110010 for cells of type $lut. Using template $paramod$ffc2ea81a65101fbef8a332deddf112494d27163\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010101 for cells of type $lut. Using template $paramod$ab8bb87959c5d7cfa27886cee1355b38e054a61a\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00111010 for cells of type $lut. Using template $paramod$e9c77024ca501b890c641f9c0b10e27242db8730\$lut for cells of type $lut. Using template $paramod$47671b68495b53d6eea5a9dd67c114907e17980b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1101 for cells of type $lut. Using template $paramod$234fd643079033ba0cbc98ff572df9b7b7a0dc86\$lut for cells of type $lut. Using template $paramod$9cf044275e70b6dc34d2f815a6f8ffc23f9694a0\$lut for cells of type $lut. Using template $paramod$2f23ee9496edbb044d48f324aa9a472e448048c6\$lut for cells of type $lut. Using template $paramod$7f937f9b61bf542e3f85320ab27ebb3043b4337a\$lut for cells of type $lut. Using template $paramod$1306345ed5ba909f850c55e8d59fd27009c8852f\$lut for cells of type $lut. Using template $paramod$756861dd4dfe0a5b9de37af2241117b1958e2ffe\$lut for cells of type $lut. Using template $paramod$cae45ff85b946d8cfe295bf4feda7db55ee71cea\$lut for cells of type $lut. Using template $paramod$7e3a80ffc1662c16df7c2b2f2b9770a6406290b2\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01101010 for cells of type $lut. Using template $paramod$23764ef6208c0eba4ffe4afe904943e1ed80e8a4\$lut for cells of type $lut. Using template $paramod$fdcae86fcfd036c1880a04306ae771a9d7579c31\$lut for cells of type $lut. Using template $paramod$c1a19a87ccbbb03d43a72335db63f692ddf82cc1\$lut for cells of type $lut. Using template $paramod$12e9049d8709286a770fe60b59ec4d94c39ce3c9\$lut for cells of type $lut. Using template $paramod$a87662230f14ba9dfff5245dcac6285924ced66e\$lut for cells of type $lut. Using template $paramod$a511f425a16be7369933baa8c17a62ec61a7d7bf\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010100 for cells of type $lut. Using template $paramod$51f6c8fbb0f6569cf3d6dc3e515204726ad3f3fc\$lut for cells of type $lut. Using template $paramod$050f36d185cc1a27f7e9e622346e22908d2131b2\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01100000 for cells of type $lut. Using template $paramod$4978360fe53bdcf6193c85aac631cf6592e5a5ce\$lut for cells of type $lut. Using template $paramod$185c33d5741c1ac257513e9252294ce63a65d712\$lut for cells of type $lut. Using template $paramod$44c0fe996e6f3f4ba8bae2e194245aa82c30fa47\$lut for cells of type $lut. Using template $paramod$cdc30770f82b85ac6eba6cd7aaa08dcc10aee7bf\$lut for cells of type $lut. Using template $paramod$77710f7ae7b20f77f16b1eb4652da5735e1928d0\$lut for cells of type $lut. Using template $paramod$42bbd2dda0bd6c81139e8c8a396c3108b2c487ba\$lut for cells of type $lut. Using template $paramod$8fd8efe0a495790cc9ddc97266933ea8a8cd7b45\$lut for cells of type $lut. Using template $paramod$3834e2239f05e6b9b27d483b2e01a04de47c5bd6\$lut for cells of type $lut. Using template $paramod$e6062a78edaf68ec0dbea59d4c8352dcb2ce0366\$lut for cells of type $lut. Using template $paramod$4133fe00eb18442862a284ccc67a95f8194d041c\$lut for cells of type $lut. Using template $paramod$b4f85a6321a00b090afc4e21d68e7b99eb94d149\$lut for cells of type $lut. Using template $paramod$beae4210b922fc9ba2fcc4008a7474b475e38c50\$lut for cells of type $lut. Using template $paramod$74c0f3179b5cebe485563ea55e9b637e7ee5c0c3\$lut for cells of type $lut. Using template $paramod$cd6c4b4da6d8737b72fd2dc8f5d83d8967445809\$lut for cells of type $lut. Using template $paramod$169e3ff199948acb6289d60ee69a6cbdb1d4057e\$lut for cells of type $lut. Using template $paramod$cf6ab2c0433b8f9b498807313fee7b7dc115199f\$lut for cells of type $lut. Using template $paramod$563acb1d1bf0bfccf04043ec6296c30447924991\$lut for cells of type $lut. Using template $paramod$75b6d8f8bda58843994267502a0df72f5c04c8b9\$lut for cells of type $lut. Using template $paramod$a0902487314ea840cf072ab04a3ead016b9f7c98\$lut for cells of type $lut. Using template $paramod$b45e5cb971154e30a797eecb0461619c3eeae12d\$lut for cells of type $lut. Using template $paramod$22dec7e8c4f4b1c3e62879fa2207e0c39047bbd3\$lut for cells of type $lut. Using template $paramod$b4410865e8124402796f9dfbf73ef8d279fdbd08\$lut for cells of type $lut. Using template $paramod$72999a7ffa547571d7240ef55378d6675343dc1c\$lut for cells of type $lut. Using template $paramod$7ea2352f8f054781a715aeddf3e67f1db65f005a\$lut for cells of type $lut. Using template $paramod$3d7168c8134c4765b84a7b86d5ef7e1e65bbf4a0\$lut for cells of type $lut. Using template $paramod$2cee314e104cc96ec33ac26cf4381898ba93a433\$lut for cells of type $lut. Using template $paramod$7b809938766c3068dc017c276033f224fcfb7189\$lut for cells of type $lut. Using template $paramod$22a17f102d8eb29f9e3f67afc5da9acc7c1e8867\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101000 for cells of type $lut. Using template $paramod$0bae318fada1686a7418ee11d3ef442aa1727cb3\$lut for cells of type $lut. Using template $paramod$0d2be417e00bb621114e2dd0dde47369e23b9e47\$lut for cells of type $lut. Using template $paramod$8af87c443b78497491432cb6a477122fa6e8e8b9\$lut for cells of type $lut. Using template $paramod$6e3a03c79073e44642e8ed2dab4ee82dfd40dfba\$lut for cells of type $lut. Using template $paramod$d445f90dc1ddb2830be86997d2db29b73b5e9c8c\$lut for cells of type $lut. Using template $paramod$2b67ef9118af19b656129dcc5fb7da42141255f5\$lut for cells of type $lut. Using template $paramod$aec5adc22a5de0e60f45219ea31779531b0c2b22\$lut for cells of type $lut. Using template $paramod$4a7e94a8356e61bf58708ea3943aa84849e19d55\$lut for cells of type $lut. Using template $paramod$70d7bf515ac9884ee9b23e71bf77b47f76d185ef\$lut for cells of type $lut. Using template $paramod$cba7d4f63aea5e4b3faf052f9f9805e0c6d202cb\$lut for cells of type $lut. Using template $paramod$23a1537d9c1b382845b6d9c7b3c33532e875ec32\$lut for cells of type $lut. Using template $paramod$dba07f312012fa7fd7154ebb73c28f79f08648ee\$lut for cells of type $lut. Using template $paramod$5554e380df5c057ae2483e7b94355e12dd02ad01\$lut for cells of type $lut. Using template $paramod$58bd588a49a6a3b9d057d75f907cb4932e1635f6\$lut for cells of type $lut. Using template $paramod$dbdbcb07b9994e498bb1324e5c006c6aa08a7a37\$lut for cells of type $lut. Using template $paramod$32abbd1d449a67fb913b4733374e345d4c17175b\$lut for cells of type $lut. Using template $paramod$b4d0f4738a5ce50c7f36c2aa2ecc09cfb874f2b6\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111110 for cells of type $lut. Using template $paramod$adce9c89515a4e83641fc3471eb3c01ec7b082ff\$lut for cells of type $lut. Using template $paramod$c83b892510842f8116e334d905c39729d98dc650\$lut for cells of type $lut. Using template $paramod$1f679301e11279c4af2a899182d47882af80ad14\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001100 for cells of type $lut. Using template $paramod$f58e440cff7ae13fb5a228c2ed267135a8b671c8\$lut for cells of type $lut. Using template $paramod$8ae5e24171bca0b8d12d43741a6723f274290f92\$lut for cells of type $lut. Using template $paramod$6b7c9c56fc2a32a479d463d5f3b0d3f4673b67f1\$lut for cells of type $lut. Using template $paramod$f546bd96bcec6e3bf1b78bdea64b0f5bbbaff6df\$lut for cells of type $lut. Using template $paramod$cad45b6c9da81941161a13849773fe2ed4bc1c6f\$lut for cells of type $lut. Using template $paramod$84abafac600770dbecbd08e858f90b0a8d019d50\$lut for cells of type $lut. Using template $paramod$b287726797d0722f64e731f1134f7c05af8f1578\$lut for cells of type $lut. Using template $paramod$db08fd84fb3c4d6a41eaec6adfffe445fb7eb17f\$lut for cells of type $lut. Using template $paramod$59f66fc99ffcb634be5864a941b128ec92807b4c\$lut for cells of type $lut. Using template $paramod$d61dc5ff3a9102f3e1b420770cb57c48730b6a64\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101010 for cells of type $lut. Using template $paramod$7d35f3eb4056e6484203c99fe42cfcf1dfaba704\$lut for cells of type $lut. Using template $paramod$bc627df2215d7d87a9088ff18f480d5879e8d268\$lut for cells of type $lut. Using template $paramod$6ae7d0edbe830bdf0473b49e7d3f1e86a2224921\$lut for cells of type $lut. Using template $paramod$86cdc2b0fe51f0ce6f6f6ff3c30d167a4391ed89\$lut for cells of type $lut. Using template $paramod$d88381ce83118f38c967f52f29c6e4ce7774d403\$lut for cells of type $lut. Using template $paramod$a06aa83841491819ea0cf939b57a7ccfb595b114\$lut for cells of type $lut. Using template $paramod$2f4ff9c62deb1e9b8ba9fa5da8739e2cd2631b97\$lut for cells of type $lut. Using template $paramod$b12f30cffd3c5075d20450206074c53a8ab46e76\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0110 for cells of type $lut. Using template $paramod$90dc599eed99da511e64ad217d69e7ff2c1e56cc\$lut for cells of type $lut. Using template $paramod$085ac6daadd555b0f8cf8f603c7849f876be6cbc\$lut for cells of type $lut. Using template $paramod$ad78cee0380e30bbea7dfdae6498ce9780a56ac0\$lut for cells of type $lut. Using template $paramod$eb5a8b8524ad031cde3fa1f8c6e4b316a40a18f1\$lut for cells of type $lut. Using template $paramod$36f55707b4123d1daa1caff273ef7703abacbbc6\$lut for cells of type $lut. Using template $paramod$52f7a4cfe29ce92985c09f54f3226fc820cd5719\$lut for cells of type $lut. Using template $paramod$6079281eb3c0533bc4a87290e614c85106033d62\$lut for cells of type $lut. Using template $paramod$5955394269546beab31413921d848e6e68138b03\$lut for cells of type $lut. Using template $paramod$f536ce3524acff9e495f8b94dae76a80f8370a2b\$lut for cells of type $lut. Using template $paramod$c4320f083abd855b58d53024143b6ad9205dcb76\$lut for cells of type $lut. Using template $paramod$4013f70355b8274e36f4a6eca1cb9e559dfc8015\$lut for cells of type $lut. Using template $paramod$baa9d2fb2d21010939721b85aa9f11effe0b53c4\$lut for cells of type $lut. Using template $paramod$20235ca863361fbc253329cfc7eeea38c77404dc\$lut for cells of type $lut. Using template $paramod$97823cddb49bc08f3c5d0f0a9fbd01b7efb56ccf\$lut for cells of type $lut. Using template $paramod$26bd04ea6e8292eb9f5765df598da7fdacada637\$lut for cells of type $lut. Using template $paramod$ff315d5084ed3c80e34901fe05add0db5feb0b93\$lut for cells of type $lut. Using template $paramod$a1cba6f1c72c77bb8aad07fb3a02ec917100723d\$lut for cells of type $lut. Using template $paramod$1dfa42510a76945b74e3e3d77073ff3443e79371\$lut for cells of type $lut. Using template $paramod$8e8c79b8ff1e5e1017a9ebdbcf22a0fe484c6198\$lut for cells of type $lut. Using template $paramod$f096cbf7810c657d1faa5ebcdd247974deedeea6\$lut for cells of type $lut. Using template $paramod$06e62c2045624c211a1abe4f2f36c8f22c688165\$lut for cells of type $lut. Using template $paramod$1a6bed7cd917110229ff0e3dc761a365906fca93\$lut for cells of type $lut. Using template $paramod$55ff800247267fa422bb4ff2db723f3144fb6877\$lut for cells of type $lut. Using template $paramod$e88247bb98e8540b703760ef5bbb85178c3761c5\$lut for cells of type $lut. Using template $paramod$fae82cfb808ca581cec5d8c615158833ef8dd3b4\$lut for cells of type $lut. Using template $paramod$126c776b0f5e5eef0fff11eb6abcf95b4d1189d2\$lut for cells of type $lut. Using template $paramod$3c3f53e48c54b9e846e532b96f42b3e28ecfcac4\$lut for cells of type $lut. Using template $paramod$6e08a529a8494bea3e73210cbccc6d1f2ec6579c\$lut for cells of type $lut. Using template $paramod$52fe2601f05c7b75b00d391c6f84fd3f94517ac1\$lut for cells of type $lut. Using template $paramod$909c542c42383bc22a5353d4535280953bdafd42\$lut for cells of type $lut. Using template $paramod$58b33073d6510d6145ff01c28a604d07765b1342\$lut for cells of type $lut. Using template $paramod$6af3193cff8959eff535b8dd97d787590af55f14\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001100 for cells of type $lut. Using template $paramod$511d03ff202b05068f88d9d05a7ab11ff096002f\$lut for cells of type $lut. Using template $paramod$858fb79a2ae92c8e71520304719a1ee1956affd8\$lut for cells of type $lut. Using template $paramod$c2b430017290739dc484bc9ed33aa08b0d9c4ce6\$lut for cells of type $lut. Using template $paramod$bdf11ceb1326d8826fc9f73257eff660c6fea7c7\$lut for cells of type $lut. Using template $paramod$332530260df33f1e6567b344a898a29636fd4f0f\$lut for cells of type $lut. Using template $paramod$620586420e818d3afa7e5b51fcf19f5c6ea83ad4\$lut for cells of type $lut. Using template $paramod$9851cb11f88bbbf4a516c0595f3b0113b1f100c4\$lut for cells of type $lut. Using template $paramod$aff3a645bb9f572421a4f0f49cf8987ceb4bcdc5\$lut for cells of type $lut. Using template $paramod$f05f5055ea062fcb2058c8ac9064f8082bcfc8b4\$lut for cells of type $lut. Using template $paramod$b7b4e66ead8566079440087b6069daa5070cea45\$lut for cells of type $lut. Using template $paramod$0bb74a6809f18c128948e35f04b70745708156a5\$lut for cells of type $lut. Using template $paramod$8f8f3ed0dfbd822273417c0b66ef033f29ee6b95\$lut for cells of type $lut. Using template $paramod$fa6002a08d9096bd91619c46f1d4b0e4726d7bf2\$lut for cells of type $lut. Using template $paramod$9c9c977d4ef412c658600f27af104e72c77928d0\$lut for cells of type $lut. Using template $paramod$62e34d236b5cf9e50e7481784c0097067a15fba4\$lut for cells of type $lut. Using template $paramod$1ff43c06f9889a7486808590e4c900f3f6f0f4ab\$lut for cells of type $lut. Using template $paramod$57cf7fbf84518d9e7604ec42b59ba9511e1f3caf\$lut for cells of type $lut. Using template $paramod$05b4b3beffc01d5d3ea8efe46c163169a8088afb\$lut for cells of type $lut. Using template $paramod$b89c522b7f70adaee1a35d80e932f38159b6a445\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1001 for cells of type $lut. Using template $paramod$32963e7d7fb30fba1712c4abbf60253ae17a786c\$lut for cells of type $lut. Using template $paramod$4d5fa0c21aaa9745a301eda7465c650b5896bed0\$lut for cells of type $lut. Using template $paramod$8cbea7472fe8ec8b0d9b301f17edad7f1c398048\$lut for cells of type $lut. Using template $paramod$72cafda0c27f0ead7aa5895890c5bbcd8a238264\$lut for cells of type $lut. Using template $paramod$f9b715fbf1040e81e900b2461c2390d17ed5e988\$lut for cells of type $lut. Using template $paramod$77eba90f08fef1f04e121480501078ac12ffbebb\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01011101 for cells of type $lut. Using template $paramod$0d3ac82cf5b8a192d5ff4c23e3143360366ae882\$lut for cells of type $lut. Using template $paramod$042b790a67817d1bb0e3fcc9937ab91de80f93c6\$lut for cells of type $lut. Using template $paramod$45be5072b215c60817ecb412c55b6e2755d33538\$lut for cells of type $lut. Using template $paramod$c284bd397071861fc7bdf35b54e073faa56c4c81\$lut for cells of type $lut. Using template $paramod$cce6b847e730f5f1cfb4a8ef6c78f9f44e4f1145\$lut for cells of type $lut. Using template $paramod$e927a7a748b428b3926b80a6e81adb3b0a73403e\$lut for cells of type $lut. Using template $paramod$e4723c78131b859cdb296cc7099a965ce6bf28d9\$lut for cells of type $lut. Using template $paramod$bdf4d0b659e3fd130ed46fe26c8d5ee841425583\$lut for cells of type $lut. Using template $paramod$17c27ffdda03355f95b2ba5edc73ca082237c935\$lut for cells of type $lut. Using template $paramod$176a6ceafa512d807921d7dfc76320dfbbfb5fe4\$lut for cells of type $lut. Using template $paramod$1a585ab1b06d77b10a630aa9fac0cbab46b59dff\$lut for cells of type $lut. Using template $paramod$aa7c9fa19368523c5f93168fe49ca882dc226955\$lut for cells of type $lut. Using template $paramod$70f68cc10fbeada9b6fa90c3bb75475e348ca467\$lut for cells of type $lut. Using template $paramod$bef0755aa872afbba3d9c8413e99ae804dc17841\$lut for cells of type $lut. Using template $paramod$5dc745bb48e2cf535179547ba13f0fe5364d6d54\$lut for cells of type $lut. Using template $paramod$64a57a4f8bd93663a03f7b895a95efdb0a294781\$lut for cells of type $lut. Using template $paramod$345210fdb340c22f35de1df3146ba468e48f2396\$lut for cells of type $lut. Using template $paramod$feebd01681ab9fcca85b86dfbd470766d8c27e7e\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11010101 for cells of type $lut. Using template $paramod$8f15d4cb425378d7889ee6dce907808cc4216239\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110111 for cells of type $lut. Using template $paramod$0f19b1c588a47c675d00132b243b5e3308ffab5d\$lut for cells of type $lut. Using template $paramod$84f4f1db72921f11c5ff5a4dc511dfd4d3da404b\$lut for cells of type $lut. Using template $paramod$908a274b55b4a97121027dd10242798b841faf7a\$lut for cells of type $lut. Using template $paramod$1bf62c518950780d8f834ee7277b6f8fd4641bd4\$lut for cells of type $lut. Using template $paramod$c651d054da0195ae056ced5cba6fab64298d8453\$lut for cells of type $lut. Using template $paramod$50ec6039d9de561a6d0a8dc470847f22a306b04f\$lut for cells of type $lut. Using template $paramod$c11ee6bbb8d9fd9cf391261624c378fb45e86b84\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101111 for cells of type $lut. Using template $paramod$7202672b7332febf25cdbe5424cf24593f5449be\$lut for cells of type $lut. Using template $paramod$359fe4e746656bf9c72aecaff84fc7bdea9f55a5\$lut for cells of type $lut. Using template $paramod$8e01d13e078e8177912f721c32dbabb20f78322d\$lut for cells of type $lut. Using template $paramod$47b2f5a9f58cb4be072657772748a1ab82d6819a\$lut for cells of type $lut. Using template $paramod$7295da7c5b19f528a428229f2570e0a23ad372af\$lut for cells of type $lut. Using template $paramod$998401f0c773946d542527d711ff7c039f874b28\$lut for cells of type $lut. Using template $paramod$9a20e5eb914da7530de8ea5af782be66b9acb237\$lut for cells of type $lut. Using template $paramod$50222e4d0527635d5cf211ed95d1ccfc839e99e8\$lut for cells of type $lut. Using template $paramod$d4fae2c0d9ad2966369cd4e39b81c71bcd1327c9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111000 for cells of type $lut. Using template $paramod$3e63470ea7a06b3eefdfb990254dd83d20fa13a7\$lut for cells of type $lut. Using template $paramod$53ce561f80f32d4298a3beadc88b6c5c78293221\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101110 for cells of type $lut. Using template $paramod$f94cf08026d21db794b98b1a8efaec5f34ff8975\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00111011 for cells of type $lut. Using template $paramod$7cc06260e0f76f6e7006f37fc41c26475972c6fe\$lut for cells of type $lut. Using template $paramod$18e50808df562b188523e13714b96fedec6427c1\$lut for cells of type $lut. Using template $paramod$722bfd9af0ae56ca9d1d12a221cb5ede16461f26\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100010 for cells of type $lut. Using template $paramod$f516270bdae03a7a458ca42eaf9a24a0a944c58f\$lut for cells of type $lut. Using template $paramod$6c543b558919ff57a92ac09985ad349c5934cfed\$lut for cells of type $lut. Using template $paramod$ba38eeec612c623fb7e710aa4d96a3562d261f4e\$lut for cells of type $lut. Using template $paramod$b254004e52e31d6991f04f6113897c64fa1650ce\$lut for cells of type $lut. Using template $paramod$d35161d1d7976dcc02e7c7d51172431be85143b4\$lut for cells of type $lut. Using template $paramod$9d6f6427dfd334c2d845e4aee3beef2a73a34203\$lut for cells of type $lut. Using template $paramod$c259cd5d52c51fd1f7f3ff62e0653c2abbc5b45b\$lut for cells of type $lut. Using template $paramod$01d6171b877f7655dc0d32e32900a6a207a75b44\$lut for cells of type $lut. Using template $paramod$619b7c9a4d44585e10b42f7fb79b16304cca97c5\$lut for cells of type $lut. No more expansions possible. 17.44. Executing OPT_LUT_INS pass (discard unused LUT inputs). Optimizing LUTs in processorci_top. Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150909.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150940.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150940.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150944.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150951.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151274.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151065.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151093.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151138.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150890.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150901.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150904.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150966.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151003.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151015.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151016.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151017.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151150.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151150.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151150.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151150.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151150.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151160.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151166.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151034.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150975.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150929.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150933.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150933.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150917.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151189.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151048.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$26290.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$26116.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$26111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$26111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$26111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$26111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$26111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$26111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$26057.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$26030.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25797.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25580.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25515.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25489.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25298.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25233.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24857.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24828.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24828.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24828.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24828.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24828.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24822.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24634.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24597.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24498.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24493.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$24493.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24493.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24493.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24493.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24493.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24470.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24276.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24234.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24229.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24229.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24229.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24229.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24229.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24229.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23835.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23765.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23760.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$23760.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23760.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23760.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23760.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23760.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23704.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23528.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23523.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23523.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$23523.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23523.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23523.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23523.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23294.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$23289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23256.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23038.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22963.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22888.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22874.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22659.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22436.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22436.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22436.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22436.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22436.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22430.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22263.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22227.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22219.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22219.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22219.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$22219.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22219.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$22213.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22213.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21939.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21939.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21939.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21939.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21939.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21939.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21925.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21925.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21925.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21925.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21925.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21925.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21919.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21919.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21914.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21914.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21897.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21698.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21623.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21623.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21623.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21623.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21623.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21616.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21564.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21527.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21527.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21527.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21527.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21527.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21527.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21513.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21513.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21513.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21506.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21506.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21506.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21506.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21506.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21216.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20858.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20858.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20839.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20839.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20839.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20839.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20839.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20832.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20823.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20823.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20823.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20816.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20816.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20767.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20700.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20700.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20700.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20700.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20700.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20700.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20680.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20680.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20680.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20500.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20253.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20253.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20253.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20253.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20253.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20247.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20247.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20247.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20188.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20140.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20131.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20131.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20131.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20131.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20131.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20125.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20125.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20125.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20125.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20125.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20118.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19896.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19610.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19610.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19610.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19610.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19610.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19594.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19594.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19594.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19594.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19594.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19594.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19583.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19504.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19311.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19148.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18795.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$18755.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$18569.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18470.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$18203.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$18054.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17965.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17965.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17965.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17965.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17965.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17965.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17955.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17905.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17423.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17354.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17354.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17268.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17233.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$17221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17213.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17124.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17124.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17124.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17124.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17124.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17124.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17114.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$16480.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16472.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16373.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$16332.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16103.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16103.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16103.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16103.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16103.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16103.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[22].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[22].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[22].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[22].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[22].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[21].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15666.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$15529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15385.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$15197.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14775.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14775.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14761.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14662.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$14621.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14621.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14621.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14621.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14621.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14621.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[5].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[5].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[5].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[5].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[5].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[5].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13945.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$13892.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$13753.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13749.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13683.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13426.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13137.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$13104.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13001.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12793.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12066.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12066.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12066.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12066.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12066.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12066.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12055.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$11982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11972.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$11934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11576.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11576.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11526.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11526.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11526.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11526.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11526.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10918.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10918.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$10770.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[0].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11050.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$10571.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10625.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$10619.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$10566.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10301.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10363.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10454.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10477.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10531.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10558.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10558.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$10579.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10592.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10605.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10610.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10619.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10625.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10631.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10637.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$10657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$10667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10675.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10682.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10700.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10718.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10631.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$10747.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10765.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10770.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10783.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10799.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10815.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10843.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10850.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10597.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10866.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10882.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10898.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10911.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$10934.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10950.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$10958.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11000.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11033.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11040.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11062.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11078.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11112.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11128.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11135.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11145.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11161.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10584.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11177.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[0].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11219.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$11260.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11276.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11301.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11317.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11327.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11360.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11376.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11389.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11397.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11413.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11447.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11463.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11479.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11495.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11511.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11526.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11526.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11568.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11576.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$11592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11603.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11609.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11616.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11632.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11657.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11661.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11669.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11694.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11735.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11745.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11752.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11768.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11784.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11797.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11806.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11831.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11856.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11872.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11888.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11904.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11929.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11952.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$11972.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11972.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$11982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11986.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$11993.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12009.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12025.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12050.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12055.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$12055.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12066.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12076.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12085.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$auto$opt_dff.cc:219:make_patterns_logic$4525.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12147.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$2296_Y.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12226.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12276.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$12283.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$13150.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12408.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12589.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12627.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12665.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12665.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12744.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12797.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12830.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12886.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$12957.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13032.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13041.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13164.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13445.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13528.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13582.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13618.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13664.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13671.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13687.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$13716.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$13757.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$13784.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13805.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$13885.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13909.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13938.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$13969.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$14071.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14119.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14189.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14289.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14314.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14388.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14410.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14485.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14505.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14523.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14545.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14621.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14625.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14662.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$14662.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$14672.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14676.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14683.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14699.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14715.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14740.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14756.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$14761.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14761.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14775.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14782.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14798.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14832.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14848.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14858.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14865.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14881.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14897.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$14910.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$auto$fsm_map.cc:170:map_fsm$4172[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$15070.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15086.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15102.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15118.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15137.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15153.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15169.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$2069_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$15266.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$15286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$15296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15300.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15307.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15323.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15339.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15364.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$15380.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$15385.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15399.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15415.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15431.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15438.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15442.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15449.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15465.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15475.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15482.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15498.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15508.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15515.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15547.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$15567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15581.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15588.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15604.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15620.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15645.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$15661.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$15666.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15666.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15672.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$15680.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15718.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15734.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15751.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15767.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15801.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15817.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15827.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15834.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[21].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$15862.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15917.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15960.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15967.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16000.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16016.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16032.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[22].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$16073.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$16103.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16107.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16114.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16130.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16146.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16171.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16187.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16239.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16249.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16256.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16272.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16288.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16306.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16322.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16336.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$16353.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16373.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$16373.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$16383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16387.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16394.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16410.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16426.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16451.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16467.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16472.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16472.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16484.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$16510.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16625.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16641.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16708.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16726.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16742.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16808.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16824.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16831.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16841.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16857.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16875.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16891.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16938.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$16947.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16990.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16997.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17013.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17023.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17030.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17046.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17056.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17063.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17076.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17094.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17114.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$17114.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17124.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17128.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17135.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17151.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17167.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17192.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17208.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17213.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17213.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17226.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151275.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17268.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151237.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17294.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17319.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17354.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17361.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17377.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17393.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17409.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17423.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17462.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17478.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17494.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17510.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17529.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17545.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17579.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17720.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17736.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17746.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17753.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17820.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17836.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17849.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17905.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17935.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$17955.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$17955.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$17965.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17969.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17976.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$17992.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18008.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18033.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$18049.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$18054.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18054.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18066.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18082.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18098.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18114.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18133.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18149.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18165.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18181.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$18207.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$18217.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$18313.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18329.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18348.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18364.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18450.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$18470.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$18470.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$18480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18484.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18491.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18507.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18523.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18548.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$18564.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$18569.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18569.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18584.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18600.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18616.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18632.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18651.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18667.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18683.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18699.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18712.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18720.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$18727.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$18755.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$18795.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$18856.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18872.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18882.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18889.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18956.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18972.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$18985.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19022.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19038.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19054.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19072.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19088.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19122.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19138.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19164.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19207.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19214.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19230.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19240.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19247.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19263.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19279.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19292.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19315.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19339.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19355.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19371.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19387.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19439.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19455.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19468.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19504.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19553.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19578.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19583.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$19583.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19594.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$19599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19610.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19610.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19653.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19669.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19682.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$19691.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19734.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19741.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19757.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19773.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19843.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19896.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$19924.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19940.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19950.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$19957.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20024.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20042.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20103.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20118.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20118.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20135.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20144.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20188.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20247.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20258.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20313.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20329.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20345.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20373.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20413.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20429.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20500.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20531.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20547.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20563.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20579.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20631.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20647.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20660.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20680.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20691.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20700.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20767.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20782.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20816.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20823.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20827.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20839.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20849.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$20858.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$20858.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$20963.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20979.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$20998.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21014.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21048.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21055.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21071.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21081.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21088.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21155.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21171.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21184.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21216.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21267.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21298.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21309.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21330.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21346.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21413.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21431.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21447.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21513.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21518.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21527.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21555.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21564.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21623.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21698.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21712.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21728.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21795.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21813.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21829.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21897.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21914.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21919.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$21925.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$21930.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21939.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$21989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$21989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22062.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22078.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22097.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22113.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22213.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22263.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22342.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22358.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22377.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22393.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22436.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22436.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22516.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22532.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22548.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22564.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22616.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22632.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22645.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$22651.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22659.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22782.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22798.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22817.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22833.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$22874.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[18].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$22967.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23038.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23054.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23070.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23080.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23087.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23181.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23190.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23256.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23298.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23327.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23343.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23410.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23428.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23444.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23523.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23532.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23555.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$23562.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23578.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23594.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23622.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23704.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23760.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23769.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[22].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23835.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$23887.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23903.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23919.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23935.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$23987.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24003.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24075.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24091.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24107.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24123.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24142.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24158.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24192.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24229.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24238.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24276.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24307.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24323.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24339.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24367.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24407.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24423.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24470.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24493.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24502.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24603.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24634.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24647.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24663.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24673.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24680.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24747.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24763.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24776.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24828.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24828.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$24857.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$24901.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24917.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24927.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$24934.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25001.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25017.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25030.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25071.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25104.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25171.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25233.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25333.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25349.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25365.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25381.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25400.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25433.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25449.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25462.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25489.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25580.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25602.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25618.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25628.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25635.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25702.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25718.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25731.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$25803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25913.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25941.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25948.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$25964.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$26034.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$26057.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$26111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$26120.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$26143.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$26176.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$26243.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$26259.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$26272.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$26290.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42294.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42295.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42297.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42298.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42299.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42300.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42304.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42306.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42309.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42313.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42314.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42315.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42317.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42318.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42320.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$abc9_ops.cc:595:break_scc$42322.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$auto$fsm_map.cc:170:map_fsm$4172[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$auto$opt_dff.cc:219:make_patterns_logic$4262.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$auto$opt_dff.cc:219:make_patterns_logic$4703.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12213.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$auto$opt_dff.cc:219:make_patterns_logic$7530.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$auto$opt_dff.cc:219:make_patterns_logic$4863.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut$flatten\Controller.\Interpreter.$procmux$2843.Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$150887$lut$aiger150886$25737.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.v:194$1067_Y.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$14960.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$2069_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$2296_Y.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$2356_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$150887$lut$aiger150886$12147.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$12102.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$150887$lut$auto$fsm_map.cc:170:map_fsm$4172[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$flatten\Riskow.\ins.$procmux$1921_Y[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[0].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[12].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$19819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$10770.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$15385.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut$aiger150886$16192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[21].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[22].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$17423.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$150887$lut$aiger150886$11934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$150887$lut\Riskow.ins.dataIn[5].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150890.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150891.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150894.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150900.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150900.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150904.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150907.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150910.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150912.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150917.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150919.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150922.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150922.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150928.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150929.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150936.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150939.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150939.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150952.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150944.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150950.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150951.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150952.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150954.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150957.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150965.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150966.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150973.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150986.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150975.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150978.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150978.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150986.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150990.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150990.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150991.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151008.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150998.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151000.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151000.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151003.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151008.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151011.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151011.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151012.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151016.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151017.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151025.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151027.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151033.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151033.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151034.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151035.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151044.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151044.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151047.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151048.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151050.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151050.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151059.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151074.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151064.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151065.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151073.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151074.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151086.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151092.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151093.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151101.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151101.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151109.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151110.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151113.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151118.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151118.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151120.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151128.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151135.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151135.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151136.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151137.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151138.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151142.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151148.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151152.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151155.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151155.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151159.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151165.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151166.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151175.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151174.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151175.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151178.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151186.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151189.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151191.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151202.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151197.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151200.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151202.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151215.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151217.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151221.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151229.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151229.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151246.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151246.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151253.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151274.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151276.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151281.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151281.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$151283.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150910.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$150909.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Removed 0 unused cells and 15094 unused wires. 17.45. Executing AUTONAME pass. Renamed 334887 objects in module processorci_top (185 iterations). 17.46. Executing HIERARCHY pass (managing design hierarchy). 17.46.1. Analyzing design hierarchy.. Top module: \processorci_top 17.46.2. Analyzing design hierarchy.. Top module: \processorci_top Removed 0 unused modules. 17.47. Printing statistics. === processorci_top === Number of wires: 7643 Number of wire bits: 23341 Number of public wires: 7643 Number of public wire bits: 23341 Number of ports: 10 Number of port bits: 10 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 10296 $scopeinfo 16 CCU2C 288 L6MUX21 250 LUT4 6582 PFUMX 1201 TRELLIS_DPR16X4 1044 TRELLIS_FF 915 17.48. Executing CHECK pass (checking for obvious problems). Checking module processorci_top... Found and reported 0 problems. 17.49. Executing JSON backend. Warnings: 103 unique messages, 103 total End of script. Logfile hash: 49f95463eb, CPU: user 26.80s system 0.27s, MEM: 259.32 MB peak Yosys 0.45+139 (git sha1 4d581a97d, clang++ 14.0.0-1ubuntu1.1 -fPIC -O3) Time spent: 26% 1x abc9_exe (9 sec), 14% 11x techmap (5 sec), ... /eda/oss-cad-suite/bin/nextpnr-ecp5 --json colorlight_i9.json --write colorlight_i9_pnr.json --45k \ --lpf /eda/processor-ci/constraints/colorlight_i9.lpf --textcfg colorlight_i9.config --package CABGA381 \ --speed 6 --lpf-allow-unconstrained --ignore-loops /eda/oss-cad-suite/bin/ecppack --compress --input colorlight_i9.config --bit colorlight_i9.bit [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Flash colorlight_i9) [Pipeline] dir Running in /var/lib/jenkins/workspace/riskow/riskow [Pipeline] { [Pipeline] echo FPGA colorlight_i9 bloqueada para flash. [Pipeline] sh + python3 /eda/processor-ci/main.py -c /eda/processor-ci/config.json -p riskow -b colorlight_i9 -l Arquivo de configura����o final gerado em /var/lib/jenkins/workspace/riskow/riskow/build_colorlight_i9.tcl Makefile executado com sucesso. Sa��da do Makefile: /eda/oss-cad-suite/bin/openFPGALoader -b colorlight-i9 colorlight_i9.bit empty Found 1 compatible device: 0x0d28 0x0204 0x3 DAPLink CMSIS-DAP Open file: DONE b3bdffff Parse file: DONE Enable configuration: DONE SRAM erase: DONE Loading: [==== ] 7.57% Loading: [======== ] 14.26% Loading: [========== ] 19.49% Loading: [============== ] 26.77% Loading: [================== ] 34.63% Loading: [====================== ] 42.19% Loading: [========================= ] 49.76% Loading: [============================= ] 57.61% Loading: [================================= ] 65.47% Loading: [===================================== ] 73.03% Loading: [========================================= ] 80.89% Loading: [============================================= ] 88.75% Loading: [================================================= ] 96.60% Loading: [==================================================] 100.00% Done Disable configuration: DONE [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Teste colorlight_i9) [Pipeline] echo Testando FPGA colorlight_i9. [Pipeline] dir Running in /var/lib/jenkins/workspace/riskow/riskow [Pipeline] { [Pipeline] sh + PYTHONPATH=/eda/processor-ci-communication PORT=/dev/ttyACM0 python /eda/processor-ci-communication/run_tests.py Running tests... ---------------------------------------------------------------------- FFFFFFFFFF.FFFF ====================================================================== ERROR [0.106s]: test_addi (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 21, in test_addi self.assertEqual(int.from_bytes(retorno, "big"), 5) AssertionError: 0 != 5 ====================================================================== ERROR [0.106s]: test_andi (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 28, in test_andi self.assertEqual(int.from_bytes(retorno, "big"), 1) AssertionError: 0 != 1 ====================================================================== ERROR [0.105s]: test_jalr (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 112, in test_jalr self.assertEqual(int.from_bytes(retorno, "big"), 7) AssertionError: 0 != 7 ====================================================================== ERROR [0.106s]: test_jalr_2 (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 119, in test_jalr_2 self.assertEqual(int.from_bytes(retorno, "big"), 7) AssertionError: 0 != 7 ====================================================================== ERROR [0.105s]: test_lb (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 105, in test_lb self.assertEqual(int.from_bytes(retorno, "big"), 0xFF) AssertionError: 0 != 255 ====================================================================== ERROR [0.105s]: test_lh (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 98, in test_lh self.assertEqual(int.from_bytes(retorno, "big"), 0xFFC0) AssertionError: 0 != 65472 ====================================================================== ERROR [0.105s]: test_lw (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 91, in test_lw self.assertEqual(int.from_bytes(retorno, "big"), 0x809) AssertionError: 0 != 2057 ====================================================================== ERROR [0.105s]: test_ori (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 35, in test_ori self.assertEqual(int.from_bytes(retorno, "big"), 7) AssertionError: 0 != 7 ====================================================================== ERROR [0.105s]: test_slli (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 70, in test_slli self.assertEqual(int.from_bytes(retorno, "big"), 8) AssertionError: 0 != 8 ====================================================================== ERROR [0.105s]: test_slli_2 (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 77, in test_slli_2 self.assertEqual(int.from_bytes(retorno, "big"), 0x10) AssertionError: 0 != 16 ====================================================================== ERROR [0.105s]: test_slti_2 (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 56, in test_slti_2 self.assertEqual(int.from_bytes(retorno, "big"), 1) AssertionError: 0 != 1 ====================================================================== ERROR [0.105s]: test_sltiu (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 63, in test_sltiu self.assertEqual(int.from_bytes(retorno, "big"), 1) AssertionError: 0 != 1 ====================================================================== ERROR [0.105s]: test_srli (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 84, in test_srli self.assertEqual(int.from_bytes(retorno, "big"), 2) AssertionError: 0 != 2 ====================================================================== ERROR [0.105s]: test_xori (test_00.TestTypeIBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_00.py", line 42, in test_xori self.assertEqual(int.from_bytes(retorno, "big"), 6) AssertionError: 0 != 6 ---------------------------------------------------------------------- Ran 15 tests in 1.578s FAILED (errors=14) Generating XML reports... Running tests... ---------------------------------------------------------------------- FFFF..FFFF ====================================================================== ERROR [0.105s]: test_add (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 21, in test_add self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ====================================================================== ERROR [0.106s]: test_and (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 35, in test_and self.assertEqual(int.from_bytes(retorno, "big"), 1) AssertionError: 0 != 1 ====================================================================== ERROR [0.105s]: test_or (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 42, in test_or self.assertEqual(int.from_bytes(retorno, "big"), 7) AssertionError: 0 != 7 ====================================================================== ERROR [0.105s]: test_sll (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 70, in test_sll self.assertEqual(int.from_bytes(retorno, "big"), 8) AssertionError: 0 != 8 ====================================================================== ERROR [0.105s]: test_sra (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 84, in test_sra self.assertEqual(int.from_bytes(retorno, "big"), 2) AssertionError: 0 != 2 ====================================================================== ERROR [0.105s]: test_srl (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 77, in test_srl self.assertEqual(int.from_bytes(retorno, "big"), 2) AssertionError: 0 != 2 ====================================================================== ERROR [0.105s]: test_sub (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 28, in test_sub self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ====================================================================== ERROR [0.105s]: test_xor (test_01.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_01.py", line 49, in test_xor self.assertEqual(int.from_bytes(retorno, "big"), 6) AssertionError: 0 != 6 ---------------------------------------------------------------------- Ran 10 tests in 1.051s FAILED (errors=8) Generating XML reports... Running tests... ---------------------------------------------------------------------- FFF ====================================================================== ERROR [0.106s]: test_sb (test_02.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_02.py", line 35, in test_sb self.assertEqual(int.from_bytes(retorno, "big"), 0xFE) AssertionError: 0 != 254 ====================================================================== ERROR [0.105s]: test_sh (test_02.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_02.py", line 28, in test_sh self.assertEqual(int.from_bytes(retorno, "big"), 0xFFC0) AssertionError: 0 != 65472 ====================================================================== ERROR [0.105s]: test_sw (test_02.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_02.py", line 21, in test_sw self.assertEqual(int.from_bytes(retorno, "big"), 0x1E) AssertionError: 0 != 30 ---------------------------------------------------------------------- Ran 3 tests in 0.316s FAILED (errors=3) Generating XML reports... Running tests... ---------------------------------------------------------------------- FFFFFFFFFFFF ====================================================================== ERROR [0.106s]: test_beq (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 21, in test_beq self.assertEqual(int.from_bytes(retorno, "big"), 0x11) AssertionError: 0 != 17 ====================================================================== ERROR [0.106s]: test_beq_2 (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 28, in test_beq_2 self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ====================================================================== ERROR [0.105s]: test_bge (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 63, in test_bge self.assertEqual(int.from_bytes(retorno, "big"), 0x11) AssertionError: 0 != 17 ====================================================================== ERROR [0.105s]: test_bge_2 (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 70, in test_bge_2 self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ====================================================================== ERROR [0.106s]: test_bgeu (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 91, in test_bgeu self.assertEqual(int.from_bytes(retorno, "big"), 0x11) AssertionError: 0 != 17 ====================================================================== ERROR [0.106s]: test_bgeu_2 (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 98, in test_bgeu_2 self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ====================================================================== ERROR [0.105s]: test_blt (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 49, in test_blt self.assertEqual(int.from_bytes(retorno, "big"), 0x11) AssertionError: 0 != 17 ====================================================================== ERROR [0.105s]: test_blt_2 (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 56, in test_blt_2 self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ====================================================================== ERROR [0.105s]: test_bltu (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 77, in test_bltu self.assertEqual(int.from_bytes(retorno, "big"), 0x11) AssertionError: 0 != 17 ====================================================================== ERROR [0.105s]: test_bltu_2 (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 84, in test_bltu_2 self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ====================================================================== ERROR [0.105s]: test_bne (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 35, in test_bne self.assertEqual(int.from_bytes(retorno, "big"), 0x11) AssertionError: 0 != 17 ====================================================================== ERROR [0.105s]: test_bne_2 (test_03.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_03.py", line 42, in test_bne_2 self.assertEqual(int.from_bytes(retorno, "big"), 10) AssertionError: 0 != 10 ---------------------------------------------------------------------- Ran 12 tests in 1.265s FAILED (errors=12) Generating XML reports... Running tests... ---------------------------------------------------------------------- FF ====================================================================== ERROR [0.105s]: test_auipc (test_04.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_04.py", line 21, in test_auipc self.assertEqual(int.from_bytes(retorno, "big"), 0x000DA004) AssertionError: 0 != 892932 ====================================================================== ERROR [0.105s]: test_lui (test_04.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_04.py", line 28, in test_lui self.assertEqual(int.from_bytes(retorno, "big"), 0x0006D000) AssertionError: 0 != 446464 ---------------------------------------------------------------------- Ran 2 tests in 0.210s FAILED (errors=2) Generating XML reports... Running tests... ---------------------------------------------------------------------- FF ====================================================================== ERROR [0.106s]: test_jal (test_05.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_05.py", line 21, in test_jal self.assertEqual(int.from_bytes(retorno, "big"), 0xA) AssertionError: 0 != 10 ====================================================================== ERROR [0.105s]: test_jal_2 (test_05.TestTypeRBasic) ---------------------------------------------------------------------- Traceback (most recent call last): File "/eda/processor-ci-communication/tests/test_05.py", line 28, in test_jal_2 self.assertEqual(int.from_bytes(retorno, "big"), 0xF) AssertionError: 0 != 15 ---------------------------------------------------------------------- Ran 2 tests in 0.211s FAILED (errors=2) Generating XML reports... [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] } Lock released on resource [Resource: colorlight_i9] [Pipeline] // lock [Pipeline] } [Pipeline] // stage [Pipeline] } Arquivo de configura����o final gerado em /var/lib/jenkins/workspace/riskow/riskow/build_digilent_nexys4_ddr.tcl Makefile executado com sucesso. Sa��da do Makefile: Building the Design... /eda/vivado/Vivado/2023.2/bin/vivado -mode batch -nolog -nojournal -source /var/lib/jenkins/workspace/riskow/riskow/build_digilent_nexys4_ddr.tcl -tclargs "ID=0x6a6a6a6a" "CLOCK_FREQ=50000000" "MEMORY_SIZE=4096" ****** Vivado v2023.2 (64-bit) **** SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 **** IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 **** SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. source /var/lib/jenkins/workspace/riskow/riskow/build_digilent_nexys4_ddr.tcl # read_verilog /eda/processor-ci/rtl/riskow.v read_verilog: Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 1274.762 ; gain = 25.836 ; free physical = 2767 ; free virtual = 26666 # read_verilog /var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v # read_verilog /var/lib/jenkins/workspace/riskow/riskow/cpu/comp.v # read_verilog /var/lib/jenkins/workspace/riskow/riskow/cpu/cpu.v # read_verilog /var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v # read_verilog /var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v # read_verilog /var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v # set ID 0x6a6a6a6a # set CLOCK_FREQ 50000000 # read_verilog /eda/processor-ci-controller/modules/uart.v # read_verilog /eda/processor-ci-controller/modules/UART/rtl/uart_rx.v # read_verilog /eda/processor-ci-controller/modules/UART/rtl/uart_tx.v # read_verilog /eda/processor-ci-controller/src/fifo.v # read_verilog /eda/processor-ci-controller/src/reset.v # read_verilog /eda/processor-ci-controller/src/clk_divider.v # read_verilog /eda/processor-ci-controller/src/memory.v # read_verilog /eda/processor-ci-controller/src/interpreter.v # read_verilog /eda/processor-ci-controller/src/controller.v # set ID [lindex $argv 0] # set CLOCK_FREQ [lindex $argv 1] # set MEMORY_SIZE [lindex $argv 2] # read_xdc "/eda/processor-ci/constraints/digilent_nexys4_ddr.xdc" # set_property PROCESSING_ORDER EARLY [get_files /eda/processor-ci/constraints/digilent_nexys4_ddr.xdc] # synth_design -top "processorci_top" -part "xc7a100tcsg324-1" -verilog_define $ID -verilog_define $CLOCK_FREQ -verilog_define $MEMORY_SIZE Command: synth_design -top processorci_top -part xc7a100tcsg324-1 -verilog_define ID=0x6a6a6a6a -verilog_define CLOCK_FREQ=50000000 -verilog_define MEMORY_SIZE=4096 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' INFO: [Device 21-403] Loading part xc7a100tcsg324-1 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes INFO: [Synth 8-7075] Helper process launched with PID 3219315 --------------------------------------------------------------------------------- Starting RTL Elaboration : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2028.453 ; gain = 403.715 ; free physical = 1538 ; free virtual = 25447 --------------------------------------------------------------------------------- INFO: [Synth 8-11241] undeclared symbol 'memory_read', assumed default net type 'wire' [/eda/processor-ci/rtl/riskow.v:85] INFO: [Synth 8-11241] undeclared symbol 'memory_write', assumed default net type 'wire' [/eda/processor-ci/rtl/riskow.v:86] CRITICAL WARNING: [Synth 8-9339] data object 'reset_o' is already declared [/eda/processor-ci/rtl/riskow.v:146] INFO: [Synth 8-6826] previous declaration of 'reset_o' is from here [/eda/processor-ci/rtl/riskow.v:29] CRITICAL WARNING: [Synth 8-11152] second declaration of 'reset_o' is ignored [/eda/processor-ci/rtl/riskow.v:146] WARNING: [Synth 8-6901] identifier 'funct3' is used before its declaration [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:92] WARNING: [Synth 8-6901] identifier 'funct3' is used before its declaration [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:96] WARNING: [Synth 8-6901] identifier 'i' is used before its declaration [/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22] WARNING: [Synth 8-6901] identifier 'i' is used before its declaration [/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22] WARNING: [Synth 8-6901] identifier 'i' is used before its declaration [/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22] WARNING: [Synth 8-6901] identifier 'i' is used before its declaration [/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22] WARNING: [Synth 8-6901] identifier 'i' is used before its declaration [/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:22] WARNING: [Synth 8-6901] identifier 'PAYLOAD_BITS' is used before its declaration [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:16] WARNING: [Synth 8-6901] identifier 'PAYLOAD_BITS' is used before its declaration [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:16] WARNING: [Synth 8-11065] parameter 'INIT' becomes localparam in 'ResetBootSystem' with formal parameter declaration list [/eda/processor-ci-controller/src/reset.v:15] WARNING: [Synth 8-11065] parameter 'RESET_COUNTER' becomes localparam in 'ResetBootSystem' with formal parameter declaration list [/eda/processor-ci-controller/src/reset.v:16] WARNING: [Synth 8-11065] parameter 'IDLE' becomes localparam in 'ResetBootSystem' with formal parameter declaration list [/eda/processor-ci-controller/src/reset.v:17] WARNING: [Synth 8-6901] identifier 'bus_mode' is used before its declaration [/eda/processor-ci-controller/src/controller.v:84] WARNING: [Synth 8-6901] identifier 'memory_page_number' is used before its declaration [/eda/processor-ci-controller/src/controller.v:85] INFO: [Synth 8-6157] synthesizing module 'processorci_top' [/eda/processor-ci/rtl/riskow.v:1] INFO: [Synth 8-6157] synthesizing module 'Controller' [/eda/processor-ci-controller/src/controller.v:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter BIT_RATE bound to: 115200 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer Parameter BUFFER_SIZE bound to: 8 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer Parameter BUS_WIDTH bound to: 32 - type: integer Parameter WORD_SIZE_BY bound to: 4 - type: integer Parameter ID bound to: 0 - type: integer Parameter RESET_CLK_CYCLES bound to: 20 - type: integer Parameter MEMORY_FILE bound to: (null) - type: string Parameter MEMORY_SIZE bound to: 4096 - type: integer INFO: [Synth 8-6157] synthesizing module 'ClkDivider' [/eda/processor-ci-controller/src/clk_divider.v:1] Parameter COUNTER_BITS bound to: 32 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer INFO: [Synth 8-6155] done synthesizing module 'ClkDivider' (0#1) [/eda/processor-ci-controller/src/clk_divider.v:1] INFO: [Synth 8-6157] synthesizing module 'Interpreter' [/eda/processor-ci-controller/src/interpreter.v:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer Parameter BUS_WIDTH bound to: 32 - type: integer Parameter ID bound to: 0 - type: integer Parameter RESET_CLK_CYCLES bound to: 20 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Interpreter' (0#1) [/eda/processor-ci-controller/src/interpreter.v:1] INFO: [Synth 8-6157] synthesizing module 'UART' [/eda/processor-ci-controller/modules/uart.v:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter BIT_RATE bound to: 115200 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer Parameter BUFFER_SIZE bound to: 8 - type: integer Parameter WORD_SIZE_BY bound to: 4 - type: integer INFO: [Synth 8-226] default block is never used [/eda/processor-ci-controller/modules/uart.v:213] INFO: [Synth 8-6157] synthesizing module 'FIFO' [/eda/processor-ci-controller/src/fifo.v:1] Parameter DEPTH bound to: 8 - type: integer Parameter WIDTH bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'FIFO' (0#1) [/eda/processor-ci-controller/src/fifo.v:1] INFO: [Synth 8-6157] synthesizing module 'uart_rx' [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:9] Parameter BIT_RATE bound to: 115200 - type: integer Parameter CLK_HZ bound to: 50000000 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'uart_rx' (0#1) [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:9] INFO: [Synth 8-6157] synthesizing module 'uart_tx' [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:10] Parameter BIT_RATE bound to: 115200 - type: integer Parameter CLK_HZ bound to: 50000000 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'uart_tx' (0#1) [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:10] INFO: [Synth 8-6155] done synthesizing module 'UART' (0#1) [/eda/processor-ci-controller/modules/uart.v:1] INFO: [Synth 8-6157] synthesizing module 'Memory' [/eda/processor-ci-controller/src/memory.v:1] Parameter MEMORY_FILE bound to: (null) - type: string Parameter MEMORY_SIZE bound to: 4096 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Memory' (0#1) [/eda/processor-ci-controller/src/memory.v:1] WARNING: [Synth 8-7071] port 'read_sync' of module 'Memory' is unconnected for instance 'Data_Memory' [/eda/processor-ci-controller/src/controller.v:268] WARNING: [Synth 8-7071] port 'sync_write_response' of module 'Memory' is unconnected for instance 'Data_Memory' [/eda/processor-ci-controller/src/controller.v:268] WARNING: [Synth 8-7071] port 'sync_read_response' of module 'Memory' is unconnected for instance 'Data_Memory' [/eda/processor-ci-controller/src/controller.v:268] WARNING: [Synth 8-7023] instance 'Data_Memory' of module 'Memory' has 11 connections declared, but only 8 given [/eda/processor-ci-controller/src/controller.v:268] INFO: [Synth 8-6155] done synthesizing module 'Controller' (0#1) [/eda/processor-ci-controller/src/controller.v:1] INFO: [Synth 8-6157] synthesizing module 'CPU' [/var/lib/jenkins/workspace/riskow/riskow/cpu/cpu.v:1] INFO: [Synth 8-6157] synthesizing module 'ProgramCounter' [/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:1] INFO: [Synth 8-6155] done synthesizing module 'ProgramCounter' (0#1) [/var/lib/jenkins/workspace/riskow/riskow/cpu/program_counter.v:1] INFO: [Synth 8-6157] synthesizing module 'RegisterBank' [/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:1] INFO: [Synth 8-6155] done synthesizing module 'RegisterBank' (0#1) [/var/lib/jenkins/workspace/riskow/riskow/cpu/register_bank.v:1] INFO: [Synth 8-6157] synthesizing module 'ALU' [/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:1] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:29] INFO: [Synth 8-6155] done synthesizing module 'ALU' (0#1) [/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:1] INFO: [Synth 8-6157] synthesizing module 'InstructionDecoder' [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:1] Parameter EXCEPTION_HANDLING bound to: 1 - type: integer INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:259] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:292] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:328] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:325] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:380] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:407] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:427] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:454] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:530] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:538] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:545] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:478] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:620] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:628] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:635] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:570] INFO: [Synth 8-155] case statement is not full and has no default [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:682] INFO: [Synth 8-6155] done synthesizing module 'InstructionDecoder' (0#1) [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:1] INFO: [Synth 8-6155] done synthesizing module 'CPU' (0#1) [/var/lib/jenkins/workspace/riskow/riskow/cpu/cpu.v:1] WARNING: [Synth 8-7071] port 'csrDataIn' of module 'CPU' is unconnected for instance 'Riskow' [/eda/processor-ci/rtl/riskow.v:95] WARNING: [Synth 8-7071] port 'csrDataOut' of module 'CPU' is unconnected for instance 'Riskow' [/eda/processor-ci/rtl/riskow.v:95] WARNING: [Synth 8-7071] port 'csrNumber' of module 'CPU' is unconnected for instance 'Riskow' [/eda/processor-ci/rtl/riskow.v:95] WARNING: [Synth 8-7071] port 'csrWriteEnable' of module 'CPU' is unconnected for instance 'Riskow' [/eda/processor-ci/rtl/riskow.v:95] WARNING: [Synth 8-7071] port 'instructionsExecuted' of module 'CPU' is unconnected for instance 'Riskow' [/eda/processor-ci/rtl/riskow.v:95] WARNING: [Synth 8-7023] instance 'Riskow' of module 'CPU' has 14 connections declared, but only 9 given [/eda/processor-ci/rtl/riskow.v:95] INFO: [Synth 8-6157] synthesizing module 'ResetBootSystem' [/eda/processor-ci-controller/src/reset.v:1] Parameter CYCLES bound to: 20 - type: integer INFO: [Synth 8-6155] done synthesizing module 'ResetBootSystem' (0#1) [/eda/processor-ci-controller/src/reset.v:1] WARNING: [Synth 8-7071] port 'start' of module 'ResetBootSystem' is unconnected for instance 'ResetBootSystem' [/eda/processor-ci/rtl/riskow.v:150] WARNING: [Synth 8-7071] port 'resetn_o' of module 'ResetBootSystem' is unconnected for instance 'ResetBootSystem' [/eda/processor-ci/rtl/riskow.v:150] WARNING: [Synth 8-7023] instance 'ResetBootSystem' of module 'ResetBootSystem' has 4 connections declared, but only 2 given [/eda/processor-ci/rtl/riskow.v:150] INFO: [Synth 8-6155] done synthesizing module 'processorci_top' (0#1) [/eda/processor-ci/rtl/riskow.v:1] WARNING: [Synth 8-3848] Net intr in module/entity Controller does not have driver. [/eda/processor-ci-controller/src/controller.v:25] WARNING: [Synth 8-6014] Unused sequential element rs1_reg was removed. [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:150] WARNING: [Synth 8-6014] Unused sequential element rs2_reg was removed. [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:151] WARNING: [Synth 8-6014] Unused sequential element rd_reg was removed. [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:152] WARNING: [Synth 8-6014] Unused sequential element tmpInstruction_reg was removed. [/var/lib/jenkins/workspace/riskow/riskow/cpu/instruction_decoder.v:157] WARNING: [Synth 8-3848] Net miso in module/entity processorci_top does not have driver. [/eda/processor-ci/rtl/riskow.v:21] WARNING: [Synth 8-3848] Net memory_read in module/entity processorci_top does not have driver. [/eda/processor-ci/rtl/riskow.v:85] WARNING: [Synth 8-3848] Net memory_write in module/entity processorci_top does not have driver. [/eda/processor-ci/rtl/riskow.v:86] WARNING: [Synth 8-3848] Net data_address in module/entity processorci_top does not have driver. [/eda/processor-ci/rtl/riskow.v:32] WARNING: [Synth 8-3848] Net data_write in module/entity processorci_top does not have driver. [/eda/processor-ci/rtl/riskow.v:32] WARNING: [Synth 8-7129] Port reset in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[31] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[30] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[29] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[28] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[27] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[26] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[25] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[24] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[23] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[22] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[21] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[20] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[19] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[18] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[17] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[16] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[15] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[14] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[13] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[12] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[1] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[0] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port communication_tx_empty in module Interpreter is either unconnected or has no load WARNING: [Synth 8-7129] Port memory_response in module Interpreter is either unconnected or has no load WARNING: [Synth 8-7129] Port intr in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port sck in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port cs in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port mosi in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port miso in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port rw in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port reset in module processorci_top is either unconnected or has no load --------------------------------------------------------------------------------- Finished RTL Elaboration : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 2129.391 ; gain = 504.652 ; free physical = 1424 ; free virtual = 25334 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2147.203 ; gain = 522.465 ; free physical = 1422 ; free virtual = 25332 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2147.203 ; gain = 522.465 ; free physical = 1422 ; free virtual = 25332 --------------------------------------------------------------------------------- Netlist sorting complete. Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.08 . Memory (MB): peak = 2147.203 ; gain = 0.000 ; free physical = 1414 ; free virtual = 25324 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Parsing XDC File [/eda/processor-ci/constraints/digilent_nexys4_ddr.xdc] Finished Parsing XDC File [/eda/processor-ci/constraints/digilent_nexys4_ddr.xdc] INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/eda/processor-ci/constraints/digilent_nexys4_ddr.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/processorci_top_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/processorci_top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2295.953 ; gain = 0.000 ; free physical = 1402 ; free virtual = 25313 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. Constraint Validation Runtime : Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2295.988 ; gain = 0.000 ; free physical = 1402 ; free virtual = 25312 --------------------------------------------------------------------------------- Finished Constraint Validation : Time (s): cpu = 00:00:35 ; elapsed = 00:00:36 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1290 ; free virtual = 25200 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a100tcsg324-1 --------------------------------------------------------------------------------- Finished Loading Part and Timing Information : Time (s): cpu = 00:00:35 ; elapsed = 00:00:36 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1290 ; free virtual = 25200 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:35 ; elapsed = 00:00:36 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1290 ; free virtual = 25200 --------------------------------------------------------------------------------- INFO: [Synth 8-802] inferred FSM for state register 'fsm_state_reg' in module 'uart_rx' INFO: [Synth 8-802] inferred FSM for state register 'fsm_state_reg' in module 'uart_tx' INFO: [Synth 8-802] inferred FSM for state register 'state_read_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'state_write_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'tx_fifo_read_state_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'ResetBootSystem' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- FSM_IDLE | 00 | 000 FSM_START | 11 | 001 FSM_RECV | 10 | 010 FSM_STOP | 01 | 011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'fsm_state_reg' using encoding 'sequential' in module 'uart_rx' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- FSM_IDLE | 00 | 000 FSM_START | 11 | 001 FSM_SEND | 10 | 010 FSM_STOP | 01 | 011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'fsm_state_reg' using encoding 'sequential' in module 'uart_tx' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 000 | 0000 READ | 001 | 0001 COPY_READ_BUFFER | 010 | 0100 WB | 011 | 0010 FINISH | 100 | 0011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_read_reg' using encoding 'sequential' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 000 | 0000 COPY_WRITE_BUFFER | 001 | 0100 WRITE | 010 | 0001 WB | 011 | 0010 FINISH | 100 | 0011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_write_reg' using encoding 'sequential' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- iSTATE | 0001 | 00 iSTATE0 | 0010 | 01 iSTATE1 | 0100 | 10 iSTATE2 | 1000 | 11 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'tx_fifo_read_state_reg' using encoding 'one-hot' in module 'UART' WARNING: [Synth 8-327] inferring latch for variable 'result_reg' [/var/lib/jenkins/workspace/riskow/riskow/cpu/alu.v:30] --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- RESET_COUNTER | 00 | 01 IDLE | 01 | 10 INIT | 10 | 00 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'sequential' in module 'ResetBootSystem' --------------------------------------------------------------------------------- Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:41 ; elapsed = 00:00:42 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1264 ; free virtual = 25176 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---Adders : 2 Input 64 Bit Adders := 2 2 Input 32 Bit Adders := 11 3 Input 32 Bit Adders := 2 2 Input 24 Bit Adders := 2 2 Input 10 Bit Adders := 2 2 Input 8 Bit Adders := 1 2 Input 6 Bit Adders := 4 2 Input 5 Bit Adders := 1 2 Input 4 Bit Adders := 2 2 Input 3 Bit Adders := 2 +---XORs : 2 Input 32 Bit XORs := 1 +---Registers : 64 Bit Registers := 2 32 Bit Registers := 37 24 Bit Registers := 5 12 Bit Registers := 1 10 Bit Registers := 2 8 Bit Registers := 11 7 Bit Registers := 2 6 Bit Registers := 1 4 Bit Registers := 6 3 Bit Registers := 3 1 Bit Registers := 36 +---RAMs : 32K Bit (1024 X 32 bit) RAMs := 2 64 Bit (8 X 8 bit) RAMs := 2 +---Muxes : 4 Input 64 Bit Muxes := 1 2 Input 64 Bit Muxes := 1 48 Input 64 Bit Muxes := 2 2 Input 32 Bit Muxes := 38 5 Input 32 Bit Muxes := 3 4 Input 32 Bit Muxes := 8 3 Input 32 Bit Muxes := 2 7 Input 32 Bit Muxes := 1 48 Input 24 Bit Muxes := 1 48 Input 8 Bit Muxes := 2 2 Input 8 Bit Muxes := 4 24 Input 7 Bit Muxes := 1 2 Input 7 Bit Muxes := 2 2 Input 6 Bit Muxes := 4 3 Input 6 Bit Muxes := 1 2 Input 5 Bit Muxes := 2 2 Input 4 Bit Muxes := 10 9 Input 4 Bit Muxes := 2 7 Input 4 Bit Muxes := 1 4 Input 4 Bit Muxes := 2 3 Input 4 Bit Muxes := 2 5 Input 4 Bit Muxes := 2 10 Input 4 Bit Muxes := 1 5 Input 3 Bit Muxes := 4 2 Input 3 Bit Muxes := 6 10 Input 3 Bit Muxes := 3 9 Input 3 Bit Muxes := 1 2 Input 2 Bit Muxes := 16 48 Input 2 Bit Muxes := 1 4 Input 2 Bit Muxes := 4 3 Input 2 Bit Muxes := 2 6 Input 2 Bit Muxes := 2 2 Input 1 Bit Muxes := 144 48 Input 1 Bit Muxes := 22 3 Input 1 Bit Muxes := 29 4 Input 1 Bit Muxes := 15 5 Input 1 Bit Muxes := 31 16 Input 1 Bit Muxes := 1 7 Input 1 Bit Muxes := 4 10 Input 1 Bit Muxes := 8 6 Input 1 Bit Muxes := 5 8 Input 1 Bit Muxes := 4 9 Input 1 Bit Muxes := 2 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: DSPs: 240 (col length:80) BRAMs: 270 (col length: RAMB18 80 RAMB36 40) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met WARNING: [Synth 8-7129] Port reset in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[31] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[30] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[29] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[28] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[27] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[26] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[25] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[24] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[23] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[22] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[21] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[20] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[19] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[18] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[17] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[16] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[15] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[14] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[13] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[12] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[1] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[0] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port communication_tx_empty in module Interpreter is either unconnected or has no load WARNING: [Synth 8-7129] Port memory_response in module Interpreter is either unconnected or has no load WARNING: [Synth 8-7129] Port intr in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port sck in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port cs in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port mosi in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port miso in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port rw in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port reset in module processorci_top is either unconnected or has no load --------------------------------------------------------------------------------- Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:02:11 ; elapsed = 00:02:12 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1240 ; free virtual = 25159 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- ROM: Preliminary Mapping Report +------------+---------------------+---------------+----------------+ |Module Name | RTL Object | Depth x Width | Implemented As | +------------+---------------------+---------------+----------------+ |Interpreter | memory_mux_selector | 256x1 | LUT | |Interpreter | memory_mux_selector | 256x1 | LUT | +------------+---------------------+---------------+----------------+ Distributed RAM: Preliminary Mapping Report (see note below) +------------+-------------------------+-----------+----------------------+------------------+ |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | +------------+-------------------------+-----------+----------------------+------------------+ |Controller | Uart/TX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |Controller | Uart/RX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |Controller | Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | |Controller | Data_Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | +------------+-------------------------+-----------+----------------------+------------------+ Note: The table above is a preliminary report that shows the Distributed RAMs at the current stage of the synthesis flow. Some Distributed RAMs may be reimplemented as non Distributed RAM primitives later in the synthesis flow. Multiple instantiated RAMs are reported only once. --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Applying XDC Timing Constraints : Time (s): cpu = 00:02:22 ; elapsed = 00:02:23 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1117 ; free virtual = 25036 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Timing Optimization : Time (s): cpu = 00:02:40 ; elapsed = 00:02:41 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1225 ; free virtual = 25144 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- Distributed RAM: Final Mapping Report +------------+-------------------------+-----------+----------------------+------------------+ |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | +------------+-------------------------+-----------+----------------------+------------------+ |Controller | Uart/TX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |Controller | Uart/RX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |Controller | Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | |Controller | Data_Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | +------------+-------------------------+-----------+----------------------+------------------+ --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Technology Mapping : Time (s): cpu = 00:02:46 ; elapsed = 00:02:47 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1210 ; free virtual = 25129 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished IO Insertion : Time (s): cpu = 00:02:55 ; elapsed = 00:02:56 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1212 ; free virtual = 25131 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Instances : Time (s): cpu = 00:02:55 ; elapsed = 00:02:56 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1212 ; free virtual = 25131 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Rebuilding User Hierarchy : Time (s): cpu = 00:02:56 ; elapsed = 00:02:57 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1213 ; free virtual = 25132 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Ports : Time (s): cpu = 00:02:56 ; elapsed = 00:02:57 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1213 ; free virtual = 25132 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:02:57 ; elapsed = 00:02:58 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1213 ; free virtual = 25132 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Nets : Time (s): cpu = 00:02:57 ; elapsed = 00:02:58 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1213 ; free virtual = 25132 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- Report BlackBoxes: +-+--------------+----------+ | |BlackBox name |Instances | +-+--------------+----------+ +-+--------------+----------+ Report Cell Usage: +------+----------+------+ | |Cell |Count | +------+----------+------+ |1 |BUFG | 3| |2 |CARRY4 | 155| |3 |LUT1 | 96| |4 |LUT2 | 294| |5 |LUT3 | 508| |6 |LUT4 | 275| |7 |LUT5 | 411| |8 |LUT6 | 1134| |9 |MUXF7 | 116| |10 |MUXF8 | 48| |11 |RAM256X1S | 256| |12 |RAM32M | 2| |13 |RAM32X1D | 4| |14 |FDRE | 1465| |15 |FDSE | 9| |16 |LD | 32| |17 |IBUF | 2| |18 |OBUF | 1| |19 |OBUFT | 2| +------+----------+------+ --------------------------------------------------------------------------------- Finished Writing Synthesis Report : Time (s): cpu = 00:02:57 ; elapsed = 00:02:58 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1213 ; free virtual = 25132 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 34 warnings. Synthesis Optimization Runtime : Time (s): cpu = 00:02:51 ; elapsed = 00:02:53 . Memory (MB): peak = 2295.988 ; gain = 522.465 ; free physical = 1213 ; free virtual = 25132 Synthesis Optimization Complete : Time (s): cpu = 00:02:57 ; elapsed = 00:02:58 . Memory (MB): peak = 2295.988 ; gain = 671.250 ; free physical = 1213 ; free virtual = 25132 INFO: [Project 1-571] Translating synthesized netlist Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2295.988 ; gain = 0.000 ; free physical = 1491 ; free virtual = 25410 INFO: [Netlist 29-17] Analyzing 613 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization Parsing XDC File [/eda/processor-ci/constraints/digilent_nexys4_ddr.xdc] Finished Parsing XDC File [/eda/processor-ci/constraints/digilent_nexys4_ddr.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2367.984 ; gain = 0.000 ; free physical = 1492 ; free virtual = 25411 INFO: [Project 1-111] Unisim Transformation Summary: A total of 294 instances were transformed. LD => LDCE: 32 instances RAM256X1S => RAM256X1S (MUXF7(x2), MUXF8, RAMS64E(x4)): 256 instances RAM32M => RAM32M (RAMD32(x6), RAMS32(x2)): 2 instances RAM32X1D => RAM32X1D (RAMD32(x2)): 4 instances Synth Design complete | Checksum: dc9bfcae INFO: [Common 17-83] Releasing license: Synthesis 79 Infos, 103 Warnings, 2 Critical Warnings and 0 Errors encountered. synth_design completed successfully synth_design: Time (s): cpu = 00:03:15 ; elapsed = 00:03:11 . Memory (MB): peak = 2368.020 ; gain = 1093.258 ; free physical = 1488 ; free virtual = 25408 INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2064.539; main = 1784.633; forked = 423.632 INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3241.594; main = 2367.988; forked = 969.652 # opt_design Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command opt_design Starting DRC Task INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2432.016 ; gain = 63.996 ; free physical = 1489 ; free virtual = 25408 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. Ending Cache Timing Information Task | Checksum: 21f36e8c1 Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2529.828 ; gain = 97.812 ; free physical = 1458 ; free virtual = 25377 Starting Logic Optimization Task Phase 1 Initialization Phase 1.1 Core Generation And Design Setup Phase 1.1 Core Generation And Design Setup | Checksum: 21f36e8c1 Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1180 ; free virtual = 25099 Phase 1.2 Setup Constraints And Sort Netlist Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 21f36e8c1 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1180 ; free virtual = 25099 Phase 1 Initialization | Checksum: 21f36e8c1 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1180 ; free virtual = 25099 Phase 2 Timer Update And Timing Data Collection Phase 2.1 Timer Update Phase 2.1 Timer Update | Checksum: 21f36e8c1 Time (s): cpu = 00:00:00.88 ; elapsed = 00:00:00.39 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1182 ; free virtual = 25101 Phase 2.2 Timing Data Collection Phase 2.2 Timing Data Collection | Checksum: 21f36e8c1 Time (s): cpu = 00:00:00.91 ; elapsed = 00:00:00.42 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1182 ; free virtual = 25101 Phase 2 Timer Update And Timing Data Collection | Checksum: 21f36e8c1 Time (s): cpu = 00:00:00.91 ; elapsed = 00:00:00.42 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1182 ; free virtual = 25101 Phase 3 Retarget INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). Phase 3 Retarget | Checksum: 21f36e8c1 Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.61 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1182 ; free virtual = 25101 Retarget | Checksum: 21f36e8c1 INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells Phase 4 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Phase 4 Constant propagation | Checksum: 1b4dc8876 Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.74 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1182 ; free virtual = 25101 Constant propagation | Checksum: 1b4dc8876 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 5 Sweep Phase 5 Sweep | Checksum: 14a34e8c6 Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.9 . Memory (MB): peak = 2772.766 ; gain = 0.000 ; free physical = 1173 ; free virtual = 25092 Sweep | Checksum: 14a34e8c6 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells Phase 6 BUFG optimization Phase 6 BUFG optimization | Checksum: 14a34e8c6 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2804.781 ; gain = 32.016 ; free physical = 1162 ; free virtual = 25082 BUFG optimization | Checksum: 14a34e8c6 INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. Phase 7 Shift Register Optimization INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs Phase 7 Shift Register Optimization | Checksum: 14a34e8c6 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2804.781 ; gain = 32.016 ; free physical = 1161 ; free virtual = 25081 Shift Register Optimization | Checksum: 14a34e8c6 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 8 Post Processing Netlist Phase 8 Post Processing Netlist | Checksum: 14a34e8c6 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2804.781 ; gain = 32.016 ; free physical = 1175 ; free virtual = 25095 Post Processing Netlist | Checksum: 14a34e8c6 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Phase 9 Finalization Phase 9.1 Finalizing Design Cores and Updating Shapes Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 1201489a5 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2804.781 ; gain = 32.016 ; free physical = 1172 ; free virtual = 25092 Phase 9.2 Verifying Netlist Connectivity Starting Connectivity Check Task Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2804.781 ; gain = 0.000 ; free physical = 1170 ; free virtual = 25090 Phase 9.2 Verifying Netlist Connectivity | Checksum: 1201489a5 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2804.781 ; gain = 32.016 ; free physical = 1170 ; free virtual = 25090 Phase 9 Finalization | Checksum: 1201489a5 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2804.781 ; gain = 32.016 ; free physical = 1170 ; free virtual = 25089 Opt_design Change Summary ========================= ------------------------------------------------------------------------------------------------------------------------- | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | ------------------------------------------------------------------------------------------------------------------------- | Retarget | 0 | 0 | 0 | | Constant propagation | 0 | 0 | 0 | | Sweep | 0 | 0 | 0 | | BUFG optimization | 0 | 0 | 0 | | Shift Register Optimization | 0 | 0 | 0 | | Post Processing Netlist | 0 | 0 | 0 | ------------------------------------------------------------------------------------------------------------------------- Ending Logic Optimization Task | Checksum: 1201489a5 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2804.781 ; gain = 32.016 ; free physical = 1170 ; free virtual = 25089 INFO: [Constraints 18-11670] Building netlist checker database with flags, 0x8 Done building netlist checker database: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2804.781 ; gain = 0.000 ; free physical = 1168 ; free virtual = 25088 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. Ending Power Optimization Task | Checksum: 1201489a5 Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2804.781 ; gain = 0.000 ; free physical = 1171 ; free virtual = 25091 Starting Final Cleanup Task Ending Final Cleanup Task | Checksum: 1201489a5 Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2804.781 ; gain = 0.000 ; free physical = 1171 ; free virtual = 25090 Starting Netlist Obfuscation Task Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2804.781 ; gain = 0.000 ; free physical = 1171 ; free virtual = 25090 Ending Netlist Obfuscation Task | Checksum: 1201489a5 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2804.781 ; gain = 0.000 ; free physical = 1170 ; free virtual = 25090 INFO: [Common 17-83] Releasing license: Implementation 18 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully opt_design: Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 2804.781 ; gain = 436.762 ; free physical = 1170 ; free virtual = 25090 # place_design Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-83] Releasing license: Implementation INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. Running DRC as a precondition to command place_design INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs Starting Placer Task Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2836.797 ; gain = 0.000 ; free physical = 1177 ; free virtual = 25097 Phase 1.1 Placer Initialization Netlist Sorting | Checksum: ddc02975 Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2836.797 ; gain = 0.000 ; free physical = 1177 ; free virtual = 25097 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2836.797 ; gain = 0.000 ; free physical = 1177 ; free virtual = 25097 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: f82aedd1 Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 2836.797 ; gain = 0.000 ; free physical = 1174 ; free virtual = 25094 Phase 1.3 Build Placer Netlist Model Phase 1.3 Build Placer Netlist Model | Checksum: 12d8f518d Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1174 ; free virtual = 25094 Phase 1.4 Constrain Clocks/Macros Phase 1.4 Constrain Clocks/Macros | Checksum: 12d8f518d Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1174 ; free virtual = 25094 Phase 1 Placer Initialization | Checksum: 12d8f518d Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1174 ; free virtual = 25094 Phase 2 Global Placement Phase 2.1 Floorplanning Phase 2.1 Floorplanning | Checksum: 10530c2ce Time (s): cpu = 00:00:14 ; elapsed = 00:00:09 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1164 ; free virtual = 25085 Phase 2.2 Update Timing before SLR Path Opt Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1da2e8a46 Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1173 ; free virtual = 25093 Phase 2.3 Post-Processing in Floorplanning Phase 2.3 Post-Processing in Floorplanning | Checksum: 1da2e8a46 Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1173 ; free virtual = 25093 Phase 2.4 Global Placement Core Phase 2.4.1 UpdateTiming Before Physical Synthesis Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1d7640daa Time (s): cpu = 00:00:36 ; elapsed = 00:00:19 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1169 ; free virtual = 25089 Phase 2.4.2 Physical Synthesis In Placer INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 147 LUT instances to create LUTNM shape INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 INFO: [Physopt 32-1138] End 1 Pass. Optimized 71 nets or LUTs. Breaked 0 LUT, combined 71 existing LUTs and moved 0 existing LUT INFO: [Physopt 32-65] No nets found for high-fanout optimization. INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1171 ; free virtual = 25091 Summary of Physical Synthesis Optimizations ============================================ ----------------------------------------------------------------------------------------------------------------------------------------------------------- | Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------------------------- | LUT Combining | 0 | 71 | 71 | 0 | 1 | 00:00:01 | | Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Total | 0 | 71 | 71 | 0 | 4 | 00:00:01 | ----------------------------------------------------------------------------------------------------------------------------------------------------------- Phase 2.4.2 Physical Synthesis In Placer | Checksum: 23dda08a4 Time (s): cpu = 00:00:40 ; elapsed = 00:00:22 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1166 ; free virtual = 25086 Phase 2.4 Global Placement Core | Checksum: 19bf612bc Time (s): cpu = 00:01:11 ; elapsed = 00:00:34 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1169 ; free virtual = 25089 Phase 2 Global Placement | Checksum: 19bf612bc Time (s): cpu = 00:01:11 ; elapsed = 00:00:34 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1169 ; free virtual = 25089 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros Phase 3.1 Commit Multi Column Macros | Checksum: 1d211a3f9 Time (s): cpu = 00:01:13 ; elapsed = 00:00:35 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1161 ; free virtual = 25081 Phase 3.2 Commit Most Macros & LUTRAMs Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1232fae5c Time (s): cpu = 00:01:18 ; elapsed = 00:00:38 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1170 ; free virtual = 25090 Phase 3.3 Area Swap Optimization Phase 3.3 Area Swap Optimization | Checksum: 1908fbdbb Time (s): cpu = 00:01:18 ; elapsed = 00:00:38 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1161 ; free virtual = 25081 Phase 3.4 Pipeline Register Optimization Phase 3.4 Pipeline Register Optimization | Checksum: 1ca9c4012 Time (s): cpu = 00:01:18 ; elapsed = 00:00:38 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1158 ; free virtual = 25079 Phase 3.5 Fast Optimization Phase 3.5 Fast Optimization | Checksum: 1984f817a Time (s): cpu = 00:01:24 ; elapsed = 00:00:41 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1171 ; free virtual = 25091 Phase 3.6 Small Shape Detail Placement Phase 3.6 Small Shape Detail Placement | Checksum: 1d086df6d Time (s): cpu = 00:01:27 ; elapsed = 00:00:44 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1173 ; free virtual = 25093 Phase 3.7 Re-assign LUT pins Phase 3.7 Re-assign LUT pins | Checksum: 212dde5f4 Time (s): cpu = 00:01:28 ; elapsed = 00:00:44 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1173 ; free virtual = 25093 Phase 3.8 Pipeline Register Optimization Phase 3.8 Pipeline Register Optimization | Checksum: 273802b35 Time (s): cpu = 00:01:28 ; elapsed = 00:00:44 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1173 ; free virtual = 25093 Phase 3 Detail Placement | Checksum: 273802b35 Time (s): cpu = 00:01:28 ; elapsed = 00:00:45 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1173 ; free virtual = 25093 Phase 4 Post Placement Optimization and Clean-Up Phase 4.1 Post Commit Optimization INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 4.1.1 Post Placement Optimization Post Placement Optimization Initialization | Checksum: 223b60205 Phase 4.1.1.1 BUFG Insertion Starting Physical Synthesis Task Phase 1 Physical Synthesis Initialization INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-0.558 | TNS=-22.126 | Phase 1 Physical Synthesis Initialization | Checksum: 19d647f0d Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1166 ; free virtual = 25087 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. Ending Physical Synthesis Task | Checksum: 19d647f0d Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1164 ; free virtual = 25084 Phase 4.1.1.1 BUFG Insertion | Checksum: 223b60205 Time (s): cpu = 00:01:37 ; elapsed = 00:00:50 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1173 ; free virtual = 25093 Phase 4.1.1.2 Post Placement Timing Optimization INFO: [Place 30-746] Post Placement Timing Summary WNS=0.605. For the most accurate timing information please run report_timing. Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1bd95c7b8 Time (s): cpu = 00:01:46 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1163 ; free virtual = 25083 Time (s): cpu = 00:01:46 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1163 ; free virtual = 25083 Phase 4.1 Post Commit Optimization | Checksum: 1bd95c7b8 Time (s): cpu = 00:01:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1158 ; free virtual = 25078 Phase 4.2 Post Placement Cleanup Phase 4.2 Post Placement Cleanup | Checksum: 1bd95c7b8 Time (s): cpu = 00:01:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1169 ; free virtual = 25089 Phase 4.3 Placer Reporting Phase 4.3.1 Print Estimated Congestion INFO: [Place 30-612] Post-Placement Estimated Congestion ____________________________________________________ | | Global Congestion | Short Congestion | | Direction | Region Size | Region Size | |___________|___________________|___________________| | North| 1x1| 2x2| |___________|___________________|___________________| | South| 1x1| 1x1| |___________|___________________|___________________| | East| 1x1| 1x1| |___________|___________________|___________________| | West| 1x1| 1x1| |___________|___________________|___________________| Phase 4.3.1 Print Estimated Congestion | Checksum: 1bd95c7b8 Time (s): cpu = 00:01:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1162 ; free virtual = 25083 Phase 4.3 Placer Reporting | Checksum: 1bd95c7b8 Time (s): cpu = 00:01:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1167 ; free virtual = 25087 Phase 4.4 Final Placement Cleanup Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1166 ; free virtual = 25087 Time (s): cpu = 00:01:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1166 ; free virtual = 25086 Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1c617a5f0 Time (s): cpu = 00:01:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1171 ; free virtual = 25091 Ending Placer Task | Checksum: 16d0ef373 Time (s): cpu = 00:01:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2843.824 ; gain = 7.027 ; free physical = 1165 ; free virtual = 25086 29 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully place_design: Time (s): cpu = 00:01:50 ; elapsed = 00:01:00 . Memory (MB): peak = 2843.824 ; gain = 39.043 ; free physical = 1164 ; free virtual = 25084 # report_utilization -hierarchical -file digilent_nexys4ddr_utilization_hierarchical_place.rpt # report_utilization -file digilent_nexys4ddr_utilization_place.rpt # report_io -file digilent_nexys4ddr_io.rpt report_io: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.38 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1171 ; free virtual = 25091 # report_control_sets -verbose -file digilent_nexys4ddr_control_sets.rpt report_control_sets: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.22 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1171 ; free virtual = 25092 # report_clock_utilization -file digilent_nexys4ddr_clock_utilization.rpt # route_design Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command route_design INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs Phase 1 Build RT Design Checksum: PlaceDB: cddde0b8 ConstDB: 0 ShapeSum: 9f3112bb RouteDB: 0 Post Restoration Checksum: NetGraph: 8a638454 | NumContArr: aa9f6cbf | Constraints: c2a8fa9d | Timing: c2a8fa9d Phase 1 Build RT Design | Checksum: 2ba54e64d Time (s): cpu = 00:01:20 ; elapsed = 00:01:07 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1373 ; free virtual = 25299 Phase 2 Router Initialization Phase 2.1 Fix Topology Constraints Phase 2.1 Fix Topology Constraints | Checksum: 2ba54e64d Time (s): cpu = 00:01:20 ; elapsed = 00:01:08 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1371 ; free virtual = 25298 Phase 2.2 Pre Route Cleanup Phase 2.2 Pre Route Cleanup | Checksum: 2ba54e64d Time (s): cpu = 00:01:20 ; elapsed = 00:01:08 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1371 ; free virtual = 25297 Number of Nodes with overlaps = 0 Phase 2.3 Update Timing Phase 2.3 Update Timing | Checksum: 2535189cf Time (s): cpu = 00:01:32 ; elapsed = 00:01:14 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1257 ; free virtual = 25184 INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.763 | TNS=0.000 | WHS=-0.818 | THS=-337.767| Router Utilization Summary Global Vertical Routing Utilization = 0.0268965 % Global Horizontal Routing Utilization = 0.0117221 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. Number of Failed Nets = 3610 (Failed Nets is the sum of unrouted and partially routed nets) Number of Unrouted Nets = 3570 Number of Partially Routed Nets = 40 Number of Node Overlaps = 34 Phase 2 Router Initialization | Checksum: 26c2d3139 Time (s): cpu = 00:01:37 ; elapsed = 00:01:17 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1249 ; free virtual = 25175 Phase 3 Initial Routing Phase 3.1 Global Routing Phase 3.1 Global Routing | Checksum: 26c2d3139 Time (s): cpu = 00:01:37 ; elapsed = 00:01:17 . Memory (MB): peak = 2843.824 ; gain = 0.000 ; free physical = 1249 ; free virtual = 25175 Phase 3.2 Initial Net Routing Phase 3.2 Initial Net Routing | Checksum: 2033a2f5c Time (s): cpu = 00:02:13 ; elapsed = 00:01:29 . Memory (MB): peak = 2956.809 ; gain = 112.984 ; free physical = 1106 ; free virtual = 25033 Phase 3 Initial Routing | Checksum: 2033a2f5c Time (s): cpu = 00:02:13 ; elapsed = 00:01:29 . Memory (MB): peak = 2956.809 ; gain = 112.984 ; free physical = 1104 ; free virtual = 25031 INFO: [Route 35-580] Design has 28 pins with tight setup and hold constraints. The top 5 pins with tight setup and hold constraints: +====================+===================+==================================+ | Launch Setup Clock | Launch Hold Clock | Pin | +====================+===================+==================================+ | sys_clk_pin | sys_clk_pin | Riskow/ins/imm_reg[14]/D | | sys_clk_pin | sys_clk_pin | Riskow/ins/imm_reg[30]/D | | sys_clk_pin | sys_clk_pin | Riskow/ins/imm_reg[18]/D | | sys_clk_pin | sys_clk_pin | Riskow/ins/currentState_reg[2]/D | | sys_clk_pin | sys_clk_pin | Riskow/ins/currentState_reg[0]/D | +--------------------+-------------------+----------------------------------+ File with complete list of pins: tight_setup_hold_pins.txt Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 Number of Nodes with overlaps = 654 Number of Nodes with overlaps = 121 Number of Nodes with overlaps = 66 Number of Nodes with overlaps = 34 Number of Nodes with overlaps = 21 Number of Nodes with overlaps = 19 Number of Nodes with overlaps = 4 Number of Nodes with overlaps = 2 Number of Nodes with overlaps = 0 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.818 | TNS=-10.496| WHS=N/A | THS=N/A | Phase 4.1 Global Iteration 0 | Checksum: 2c54b7bb2 Time (s): cpu = 00:03:34 ; elapsed = 00:02:23 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 951 ; free virtual = 24877 Phase 4.2 Global Iteration 1 Number of Nodes with overlaps = 33 Number of Nodes with overlaps = 16 Number of Nodes with overlaps = 15 Number of Nodes with overlaps = 8 Number of Nodes with overlaps = 8 Number of Nodes with overlaps = 6 Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 0 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.439 | TNS=-2.465 | WHS=N/A | THS=N/A | Phase 4.2 Global Iteration 1 | Checksum: 27564d9a0 Time (s): cpu = 00:03:49 ; elapsed = 00:02:36 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 953 ; free virtual = 24879 Phase 4.3 Global Iteration 2 Number of Nodes with overlaps = 61 Number of Nodes with overlaps = 42 Number of Nodes with overlaps = 20 Number of Nodes with overlaps = 16 Number of Nodes with overlaps = 18 Number of Nodes with overlaps = 13 Number of Nodes with overlaps = 14 Number of Nodes with overlaps = 6 Number of Nodes with overlaps = 2 Number of Nodes with overlaps = 5 Number of Nodes with overlaps = 3 Number of Nodes with overlaps = 7 Number of Nodes with overlaps = 3 Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 0 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.665 | TNS=-3.227 | WHS=N/A | THS=N/A | Phase 4.3 Global Iteration 2 | Checksum: 10a5f72bb Time (s): cpu = 00:04:33 ; elapsed = 00:03:18 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 945 ; free virtual = 24872 Phase 4 Rip-up And Reroute | Checksum: 10a5f72bb Time (s): cpu = 00:04:33 ; elapsed = 00:03:18 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 944 ; free virtual = 24871 Phase 5 Delay and Skew Optimization Phase 5.1 Delay CleanUp Phase 5.1.1 Update Timing Phase 5.1.1 Update Timing | Checksum: 1ba1aa545 Time (s): cpu = 00:04:35 ; elapsed = 00:03:19 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 953 ; free virtual = 24879 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.431 | TNS=-2.328 | WHS=N/A | THS=N/A | Number of Nodes with overlaps = 0 Phase 5.1 Delay CleanUp | Checksum: 16fd2dec0 Time (s): cpu = 00:04:35 ; elapsed = 00:03:19 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 953 ; free virtual = 24879 Phase 5.2 Clock Skew Optimization Phase 5.2 Clock Skew Optimization | Checksum: 16fd2dec0 Time (s): cpu = 00:04:35 ; elapsed = 00:03:19 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 953 ; free virtual = 24879 Phase 5 Delay and Skew Optimization | Checksum: 16fd2dec0 Time (s): cpu = 00:04:35 ; elapsed = 00:03:19 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 953 ; free virtual = 24879 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter Phase 6.1.1 Update Timing Phase 6.1.1 Update Timing | Checksum: 17452a541 Time (s): cpu = 00:04:38 ; elapsed = 00:03:21 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 945 ; free virtual = 24872 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.431 | TNS=-2.328 | WHS=0.035 | THS=0.000 | Phase 6.1 Hold Fix Iter | Checksum: 10f753596 Time (s): cpu = 00:04:38 ; elapsed = 00:03:21 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 944 ; free virtual = 24870 Phase 6 Post Hold Fix | Checksum: 10f753596 Time (s): cpu = 00:04:38 ; elapsed = 00:03:21 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 943 ; free virtual = 24870 Phase 7 Route finalize Router Utilization Summary Global Vertical Routing Utilization = 0.968795 % Global Horizontal Routing Utilization = 1.32829 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. Number of Failed Nets = 0 (Failed Nets is the sum of unrouted and partially routed nets) Number of Unrouted Nets = 0 Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 --GLOBAL Congestion: Utilization threshold used for congestion level computation: 0.85 Congestion Report North Dir 1x1 Area, Max Cong = 50.4505%, No Congested Regions. South Dir 1x1 Area, Max Cong = 54.0541%, No Congested Regions. East Dir 1x1 Area, Max Cong = 57.3529%, No Congested Regions. West Dir 1x1 Area, Max Cong = 61.7647%, No Congested Regions. ------------------------------ Reporting congestion hotspots ------------------------------ Direction: North ---------------- Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 Direction: South ---------------- Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 Direction: East ---------------- Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 Direction: West ---------------- Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 Phase 7 Route finalize | Checksum: 10f753596 Time (s): cpu = 00:04:38 ; elapsed = 00:03:21 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 947 ; free virtual = 24874 Phase 8 Verifying routed nets Verification completed successfully Phase 8 Verifying routed nets | Checksum: 10f753596 Time (s): cpu = 00:04:38 ; elapsed = 00:03:21 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 945 ; free virtual = 24872 Phase 9 Depositing Routes Phase 9 Depositing Routes | Checksum: 1c5cf9288 Time (s): cpu = 00:04:40 ; elapsed = 00:03:22 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 951 ; free virtual = 24878 Phase 10 Post Router Timing INFO: [Route 35-57] Estimated Timing Summary | WNS=-0.431 | TNS=-2.328 | WHS=0.035 | THS=0.000 | WARNING: [Route 35-328] Router estimated timing not met. Resolution: For a complete and accurate timing signoff, report_timing_summary must be run after route_design. Alternatively, route_design can be run with the -timing_summary option to enable a complete timing signoff at the end of route_design. Phase 10 Post Router Timing | Checksum: 1c5cf9288 Time (s): cpu = 00:04:42 ; elapsed = 00:03:23 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 951 ; free virtual = 24878 INFO: [Route 35-16] Router Completed Successfully Phase 11 Post-Route Event Processing Phase 11 Post-Route Event Processing | Checksum: e376ae24 Time (s): cpu = 00:04:42 ; elapsed = 00:03:23 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 934 ; free virtual = 24861 Ending Routing Task | Checksum: e376ae24 Time (s): cpu = 00:04:43 ; elapsed = 00:03:24 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 945 ; free virtual = 24871 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation 15 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully route_design: Time (s): cpu = 00:04:46 ; elapsed = 00:03:25 . Memory (MB): peak = 3001.809 ; gain = 157.984 ; free physical = 949 ; free virtual = 24875 # report_timing_summary -no_header -no_detailed_paths INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs ------------------------------------------------------------------------------------------------ | Timer Settings | -------------- ------------------------------------------------------------------------------------------------ Enable Multi Corner Analysis : Yes Enable Pessimism Removal : Yes Pessimism Removal Resolution : Nearest Common Node Enable Input Delay Default Clock : No Enable Preset / Clear Arcs : No Disable Flight Delays : No Ignore I/O Paths : No Timing Early Launch at Borrowing Latches : No Borrow Time for Max Delay Exceptions : Yes Merge Timing Exceptions : Yes Inter-SLR Compensation : Conservative Corner Analyze Analyze Name Max Paths Min Paths ------ --------- --------- Slow Yes Yes Fast Yes Yes ------------------------------------------------------------------------------------------------ | Report Methodology | ------------------ ------------------------------------------------------------------------------------------------ No report available as report_methodology has not been run prior. Run report_methodology on the current design for the summary of methodology violations. check_timing report Table of Contents ----------------- 1. checking no_clock (128) 2. checking constant_clock (0) 3. checking pulse_width_clock (0) 4. checking unconstrained_internal_endpoints (32) 5. checking no_input_delay (1) 6. checking no_output_delay (1) 7. checking multiple_clock (0) 8. checking generated_clocks (0) 9. checking loops (0) 10. checking partial_input_delay (0) 11. checking partial_output_delay (0) 12. checking latch_loops (0) 1. checking no_clock (128) -------------------------- There are 32 register/latch pins with no clock driven by root clock pin: Riskow/ins/aluOp_reg[0]/Q (HIGH) There are 32 register/latch pins with no clock driven by root clock pin: Riskow/ins/aluOp_reg[1]/Q (HIGH) There are 32 register/latch pins with no clock driven by root clock pin: Riskow/ins/aluOp_reg[2]/Q (HIGH) There are 32 register/latch pins with no clock driven by root clock pin: Riskow/ins/aluOp_reg[3]/Q (HIGH) 2. checking constant_clock (0) ------------------------------ There are 0 register/latch pins with constant_clock. 3. checking pulse_width_clock (0) --------------------------------- There are 0 register/latch pins which need pulse_width check 4. checking unconstrained_internal_endpoints (32) ------------------------------------------------- There are 32 pins that are not constrained for maximum delay. (HIGH) There are 0 pins that are not constrained for maximum delay due to constant clock. 5. checking no_input_delay (1) ------------------------------ There is 1 input port with no input delay specified. (HIGH) There are 0 input ports with no input delay but user has a false path constraint. 6. checking no_output_delay (1) ------------------------------- There is 1 port with no output delay specified. (HIGH) There are 0 ports with no output delay but user has a false path constraint There are 0 ports with no output delay but with a timing clock defined on it or propagating through it 7. checking multiple_clock (0) ------------------------------ There are 0 register/latch pins with multiple clocks. 8. checking generated_clocks (0) -------------------------------- There are 0 generated clocks that are not connected to a clock source. 9. checking loops (0) --------------------- There are 0 combinational loops in the design. 10. checking partial_input_delay (0) ------------------------------------ There are 0 input ports with partial input delay specified. 11. checking partial_output_delay (0) ------------------------------------- There are 0 ports with partial output delay specified. 12. checking latch_loops (0) ---------------------------- There are 0 combinational latch loops in the design through latch input ------------------------------------------------------------------------------------------------ | Design Timing Summary | --------------------- ------------------------------------------------------------------------------------------------ WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -0.401 -2.145 6 13965 0.037 0.000 0 13965 3.750 0.000 0 2524 Timing constraints are not met. ------------------------------------------------------------------------------------------------ | Clock Summary | ------------- ------------------------------------------------------------------------------------------------ Clock Waveform(ns) Period(ns) Frequency(MHz) ----- ------------ ---------- -------------- sys_clk_pin {0.000 5.000} 10.000 100.000 ------------------------------------------------------------------------------------------------ | Intra Clock Table | ----------------- ------------------------------------------------------------------------------------------------ Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- sys_clk_pin -0.401 -2.145 6 13965 0.037 0.000 0 13965 3.750 0.000 0 2524 ------------------------------------------------------------------------------------------------ | Inter Clock Table | ----------------- ------------------------------------------------------------------------------------------------ From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- ------------------------------------------------------------------------------------------------ | Other Path Groups Table | ----------------------- ------------------------------------------------------------------------------------------------ Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- # report_route_status -file digilent_nexys4ddr_route_status.rpt # report_drc -file digilent_nexys4ddr_drc.rpt Command: report_drc -file digilent_nexys4ddr_drc.rpt INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/eda/vivado/Vivado/2023.2/data/ip'. INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 2-168] The results of DRC are in file /var/lib/jenkins/workspace/riskow/riskow/digilent_nexys4ddr_drc.rpt. report_drc completed successfully # report_timing_summary -datasheet -max_paths 10 -file digilent_nexys4ddr_timing.rpt INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs # report_power -file digilent_nexys4ddr_power.rpt Command: report_power -file digilent_nexys4ddr_power.rpt Running Vector-less Activity Propagation... Finished Running Vector-less Activity Propagation WARNING: [Power 33-332] Found switching activity that implies high-fanout reset nets being asserted for excessive periods of time which may result in inaccurate power analysis. Resolution: To review and fix problems, please run Power Constraints Advisor in the GUI from Tools > Power Constraints Advisor or run report_power with the -advisory option to generate a text report. 0 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. report_power completed successfully # write_bitstream -force "digilent_nexys4_ddr.bit" Command: write_bitstream -force digilent_nexys4_ddr.bit Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command write_bitstream INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 8 threads WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: set_property CFGBVS value1 [current_design] #where value1 is either VCCO or GND set_property CONFIG_VOLTAGE value2 [current_design] #where value2 is the voltage provided to configuration bank 0 Refer to the device configuration user guide for more information. INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. INFO: [Designutils 20-2272] Running write_bitstream with 8 threads. Loading data files... Loading site data... Loading route data... Processing options... Creating bitmap... Creating bitstream... Writing bitstream ./digilent_nexys4_ddr.bit... INFO: [Vivado 12-1842] Bitgen Completed Successfully. INFO: [Project 1-1876] WebTalk data collection is mandatory when using a ULT device. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. INFO: [Common 17-83] Releasing license: Implementation 9 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. write_bitstream completed successfully write_bitstream: Time (s): cpu = 00:00:30 ; elapsed = 00:00:30 . Memory (MB): peak = 3170.199 ; gain = 120.367 ; free physical = 779 ; free virtual = 24709 INFO: [Common 17-206] Exiting Vivado at Mon Oct 28 02:09:12 2024... [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Flash digilent_nexys4_ddr) [Pipeline] dir Running in /var/lib/jenkins/workspace/riskow/riskow [Pipeline] { [Pipeline] echo FPGA digilent_nexys4_ddr bloqueada para flash. [Pipeline] sh + python3 /eda/processor-ci/main.py -c /eda/processor-ci/config.json -p riskow -b digilent_nexys4_ddr -l Arquivo de configura����o final gerado em /var/lib/jenkins/workspace/riskow/riskow/build_digilent_nexys4_ddr.tcl Makefile executado com sucesso. Sa��da do Makefile: Flashing the FPGA... /eda/oss-cad-suite/bin/openFPGALoader -b nexys_a7_100 digilent_nexys4_ddr.bit empty Jtag frequency : requested 6.00MHz -> real 6.00MHz Parse file DONE Erase SRAM Load SRAM Load SRAM: [====== ] 10.28% Load SRAM: [=========== ] 20.56% Load SRAM: [================ ] 30.83% Load SRAM: [===================== ] 41.11% Load SRAM: [========================== ] 51.39% Load SRAM: [=============================== ] 61.67% Load SRAM: [==================================== ] 71.94% Load SRAM: [========================================== ] 82.22% Load SRAM: [=============================================== ] 92.50% Load SRAM: [===================================================] 100.00% Done DONE [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Teste digilent_nexys4_ddr) [Pipeline] echo Testando FPGA digilent_nexys4_ddr. [Pipeline] dir Running in /var/lib/jenkins/workspace/riskow/riskow [Pipeline] { [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] } Lock released on resource [Resource: digilent_nexys4_ddr] [Pipeline] // lock [Pipeline] } [Pipeline] // stage [Pipeline] } [Pipeline] // parallel [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Declarative: Post Actions) [Pipeline] junit Recording test results [Checks API] No suitable checks publisher found. [Pipeline] } [Pipeline] // stage [Pipeline] } [Pipeline] // node [Pipeline] End of Pipeline Finished: UNSTABLE