Started by timer [Pipeline] Start of Pipeline [Pipeline] node Running on Jenkins in /var/jenkins_home/workspace/Risco-5 [Pipeline] { [Pipeline] stage [Pipeline] { (Git Clone) [Pipeline] sh + rm -rf Risco-5 [Pipeline] sh + git clone --recursive --depth=1 https://github.com/JN513/Risco-5.git Risco-5 Cloning into 'Risco-5'... [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Simulation) [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] sh + /eda/oss-cad-suite/bin/iverilog -o simulation.out -g2005 -s soc_tb -I src/core/ src/core/alu.v src/core/alu_control.v src/core/control_unit.v src/core/core.v src/core/csr_unit.v src/core/immediate_generator.v src/core/mdu.v src/core/mux.v src/core/pc.v src/core/registers.v tests/soc_test.v src/peripheral/bus.v src/peripheral/fifo.v src/peripheral/gpios.v src/peripheral/gpio.v src/peripheral/leds.v src/peripheral/memory.v src/peripheral/pwm.v src/peripheral/soc.v src/peripheral/uart_rx.v src/peripheral/uart_tx.v src/peripheral/uart.v [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Utilities) [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] sh + pwd + python3 /eda/processor_ci/core/labeler_prototype.py -d /var/jenkins_home/workspace/Risco-5/Risco-5 -c /eda/processor_ci/config.json -o /eda/processor_ci_utils/labels.json WARNING: Top module not found in the core files. [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (FPGA Build Pipeline) [Pipeline] parallel [Pipeline] { (Branch: colorlight_i9) [Pipeline] { (Branch: digilent_arty_a7_100t) [Pipeline] stage [Pipeline] { (colorlight_i9) [Pipeline] stage [Pipeline] { (digilent_arty_a7_100t) [Pipeline] lock Trying to acquire lock on [Resource: colorlight_i9] Resource [colorlight_i9] did not exist. Created. Lock acquired on [Resource: colorlight_i9] [Pipeline] { [Pipeline] lock Trying to acquire lock on [Resource: digilent_arty_a7_100t] Resource [digilent_arty_a7_100t] did not exist. Created. Lock acquired on [Resource: digilent_arty_a7_100t] [Pipeline] { [Pipeline] stage [Pipeline] { (Synthesis and PnR) [Pipeline] stage [Pipeline] { (Synthesis and PnR) [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] echo Starting synthesis for FPGA colorlight_i9. [Pipeline] sh [Pipeline] echo Starting synthesis for FPGA digilent_arty_a7_100t. + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config.json -p Risco-5 -b colorlight_i9 [Pipeline] sh + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config.json -p Risco-5 -b digilent_arty_a7_100t Final configuration file generated at /var/jenkins_home/workspace/Risco-5/Risco-5/build_colorlight_i9.tcl Makefile executed successfully. Makefile output: /eda/oss-cad-suite/bin/yosys -m slang -m ghdl -c /var/jenkins_home/workspace/Risco-5/Risco-5/build_colorlight_i9.tcl -DID=0x6a6a6a6a -DCLOCK_FREQ=25000000 -DMEMORY_SIZE=4096 /----------------------------------------------------------------------------\ | yosys -- Yosys Open SYnthesis Suite | | Copyright (C) 2012 - 2025 Claire Xenia Wolf | | Distributed under an ISC-like license, type "license" to see terms | \----------------------------------------------------------------------------/ Yosys 0.50+7 (git sha1 38f858374, clang++ 18.1.8 -fPIC -O3) -- Running command `read -define ID=0x6a6a6a6a CLOCK_FREQ=25000000 MEMORY_SIZE=4096' -- 1. Executing Verilog-2005 frontend: /eda/processor_ci/rtl/Risco-5.v Parsing Verilog input from `/eda/processor_ci/rtl/Risco-5.v' to AST representation. Generating RTLIL representation for module `\processorci_top'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v' to AST representation. Generating RTLIL representation for module `\Alu'. Successfully finished Verilog frontend. 3. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v' to AST representation. Generating RTLIL representation for module `\ALU_Control'. Successfully finished Verilog frontend. 4. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v' to AST representation. Generating RTLIL representation for module `\Control_Unit'. Successfully finished Verilog frontend. 5. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v' to AST representation. Generating RTLIL representation for module `\Core'. /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:197: Warning: Identifier `\pc_source' is implicitly declared. Successfully finished Verilog frontend. 6. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v' to AST representation. Generating RTLIL representation for module `\CSR_Unit'. Successfully finished Verilog frontend. 7. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v' to AST representation. Generating RTLIL representation for module `\Immediate_Generator'. Successfully finished Verilog frontend. 8. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v' to AST representation. Generating RTLIL representation for module `\MDU'. Successfully finished Verilog frontend. 9. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v' to AST representation. Generating RTLIL representation for module `\MUX'. Successfully finished Verilog frontend. 10. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v' to AST representation. Generating RTLIL representation for module `\PC'. Successfully finished Verilog frontend. 11. Executing Verilog-2005 frontend: /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v Parsing Verilog input from `/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v' to AST representation. Generating RTLIL representation for module `\Registers'. Successfully finished Verilog frontend. 12. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/uart.v Parsing Verilog input from `/eda/processor-ci-controller/modules/uart.v' to AST representation. Generating RTLIL representation for module `\UART'. Successfully finished Verilog frontend. 13. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/UART/rtl/uart_rx.v Parsing Verilog input from `/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v' to AST representation. Generating RTLIL representation for module `\uart_rx'. Successfully finished Verilog frontend. 14. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/UART/rtl/uart_tx.v Parsing Verilog input from `/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v' to AST representation. Generating RTLIL representation for module `\uart_tx'. Successfully finished Verilog frontend. 15. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/fifo.v Parsing Verilog input from `/eda/processor-ci-controller/src/fifo.v' to AST representation. Generating RTLIL representation for module `\FIFO'. Successfully finished Verilog frontend. 16. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/reset.v Parsing Verilog input from `/eda/processor-ci-controller/src/reset.v' to AST representation. Generating RTLIL representation for module `\ResetBootSystem'. Successfully finished Verilog frontend. 17. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/clk_divider.v Parsing Verilog input from `/eda/processor-ci-controller/src/clk_divider.v' to AST representation. Generating RTLIL representation for module `\ClkDivider'. Successfully finished Verilog frontend. 18. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/memory.v Parsing Verilog input from `/eda/processor-ci-controller/src/memory.v' to AST representation. Generating RTLIL representation for module `\Memory'. Successfully finished Verilog frontend. 19. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/interpreter.v Parsing Verilog input from `/eda/processor-ci-controller/src/interpreter.v' to AST representation. Generating RTLIL representation for module `\Interpreter'. Successfully finished Verilog frontend. 20. Executing Verilog-2005 frontend: /eda/processor-ci-controller/src/controller.v Parsing Verilog input from `/eda/processor-ci-controller/src/controller.v' to AST representation. Generating RTLIL representation for module `\Controller'. Successfully finished Verilog frontend. 21. Executing SYNTH_ECP5 pass. 21.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v' to AST representation. Generating RTLIL representation for module `\LUT4'. Generating RTLIL representation for module `\$__ABC9_LUT5'. Generating RTLIL representation for module `\$__ABC9_LUT6'. Generating RTLIL representation for module `\$__ABC9_LUT7'. Generating RTLIL representation for module `\L6MUX21'. Generating RTLIL representation for module `\CCU2C'. Generating RTLIL representation for module `\TRELLIS_RAM16X2'. Generating RTLIL representation for module `\PFUMX'. Generating RTLIL representation for module `\TRELLIS_DPR16X4'. Generating RTLIL representation for module `\DPR16X4C'. Generating RTLIL representation for module `\LUT2'. Generating RTLIL representation for module `\TRELLIS_FF'. Generating RTLIL representation for module `\TRELLIS_IO'. Generating RTLIL representation for module `\INV'. Generating RTLIL representation for module `\TRELLIS_COMB'. Generating RTLIL representation for module `\DP16KD'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Successfully finished Verilog frontend. 21.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_bb.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_bb.v' to AST representation. Generating RTLIL representation for module `\MULT18X18D'. Generating RTLIL representation for module `\ALU54B'. Generating RTLIL representation for module `\EHXPLLL'. Generating RTLIL representation for module `\DTR'. Generating RTLIL representation for module `\OSCG'. Generating RTLIL representation for module `\USRMCLK'. Generating RTLIL representation for module `\JTAGG'. Generating RTLIL representation for module `\DELAYF'. Generating RTLIL representation for module `\DELAYG'. Generating RTLIL representation for module `\IDDRX1F'. Generating RTLIL representation for module `\IDDRX2F'. Generating RTLIL representation for module `\IDDR71B'. Generating RTLIL representation for module `\IDDRX2DQA'. Generating RTLIL representation for module `\ODDRX1F'. Generating RTLIL representation for module `\ODDRX2F'. Generating RTLIL representation for module `\ODDR71B'. Generating RTLIL representation for module `\OSHX2A'. Generating RTLIL representation for module `\ODDRX2DQA'. Generating RTLIL representation for module `\ODDRX2DQSB'. Generating RTLIL representation for module `\TSHX2DQA'. Generating RTLIL representation for module `\TSHX2DQSA'. Generating RTLIL representation for module `\DQSBUFM'. Generating RTLIL representation for module `\DDRDLLA'. Generating RTLIL representation for module `\DLLDELD'. Generating RTLIL representation for module `\CLKDIVF'. Generating RTLIL representation for module `\ECLKSYNCB'. Generating RTLIL representation for module `\ECLKBRIDGECS'. Generating RTLIL representation for module `\DCCA'. Generating RTLIL representation for module `\DCSC'. Generating RTLIL representation for module `\DCUA'. Generating RTLIL representation for module `\EXTREFB'. Generating RTLIL representation for module `\PCSCLKDIV'. Generating RTLIL representation for module `\PUR'. Generating RTLIL representation for module `\GSR'. Generating RTLIL representation for module `\SGSR'. Generating RTLIL representation for module `\PDPW16KD'. Successfully finished Verilog frontend. 21.3. Executing HIERARCHY pass (managing design hierarchy). 21.3.1. Analyzing design hierarchy.. Top module: \processorci_top Used module: \ResetBootSystem Used module: \Core Used module: \CSR_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: \Control_Unit Used module: \Registers Used module: \MDU Used module: \MUX Used module: \PC Used module: \Controller Used module: \Memory Used module: \UART Used module: \uart_tx Used module: \uart_rx Used module: \FIFO Used module: \Interpreter Used module: \ClkDivider Parameter \CYCLES = 20 21.3.2. Executing AST frontend in derive mode using pre-parsed AST for module `\ResetBootSystem'. Parameter \CYCLES = 20 Generating RTLIL representation for module `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100'. Parameter \BOOT_ADDRESS = 0 21.3.3. Executing AST frontend in derive mode using pre-parsed AST for module `\Core'. Parameter \BOOT_ADDRESS = 0 Generating RTLIL representation for module `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000'. /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:197: Warning: Identifier `\pc_source' is implicitly declared. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \WORD_SIZE_BY = 4 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 21.3.4. Executing AST frontend in derive mode using pre-parsed AST for module `\Controller'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \WORD_SIZE_BY = 4 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Generating RTLIL representation for module `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 21.3.5. Executing AST frontend in derive mode using pre-parsed AST for module `\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Generating RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Found cached RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 9600 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 21.3.6. Executing AST frontend in derive mode using pre-parsed AST for module `\UART'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 9600 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 Generating RTLIL representation for module `$paramod$0ebcb351531b968e3a65ee57624639e797f21099\UART'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 12 Parameter \BUS_WIDTH = 32 Parameter \ID = 1 Parameter \RESET_CLK_CYCLES = 20 21.3.7. Executing AST frontend in derive mode using pre-parsed AST for module `\Interpreter'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 12 Parameter \BUS_WIDTH = 32 Parameter \ID = 1 Parameter \RESET_CLK_CYCLES = 20 Generating RTLIL representation for module `$paramod$6cc68bce6e5ef8358e4f854f8e1607a73728e8ac\Interpreter'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 12 21.3.8. Executing AST frontend in derive mode using pre-parsed AST for module `\ClkDivider'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 12 Generating RTLIL representation for module `$paramod$d236a3555e5fe48231d3a0bdd0f1031eebbe67d5\ClkDivider'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 21.3.9. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 21.3.10. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 21.3.11. Executing AST frontend in derive mode using pre-parsed AST for module `\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Generating RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. 21.3.12. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000 Used module: \CSR_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: \Control_Unit Used module: \Registers Used module: \MDU Used module: \MUX Used module: \PC Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: \Memory Used module: \UART Used module: $paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx Used module: $paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: \Interpreter Used module: \ClkDivider Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Found cached RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Found cached RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 21.3.13. Executing AST frontend in derive mode using pre-parsed AST for module `\UART'. Parameter \CLK_FREQ = 25000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 Generating RTLIL representation for module `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 21.3.14. Executing AST frontend in derive mode using pre-parsed AST for module `\Interpreter'. Parameter \CLK_FREQ = 25000000 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \ID = 0 Parameter \RESET_CLK_CYCLES = 20 Generating RTLIL representation for module `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 32 21.3.15. Executing AST frontend in derive mode using pre-parsed AST for module `\ClkDivider'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 32 Generating RTLIL representation for module `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider'. 21.3.16. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000 Used module: \CSR_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: \Control_Unit Used module: \Registers Used module: \MDU Used module: \MUX Used module: \PC Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory Used module: $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART Used module: \uart_tx Used module: \uart_rx Used module: \FIFO Used module: $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 21.3.17. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 21.3.18. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. 21.3.19. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000 Used module: \CSR_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: \Control_Unit Used module: \Registers Used module: \MDU Used module: \MUX Used module: \PC Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory Used module: $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider 21.3.20. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000 Used module: \CSR_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: \Control_Unit Used module: \Registers Used module: \MDU Used module: \MUX Used module: \PC Used module: $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller Used module: $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory Used module: $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx Used module: $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider Removing unused module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx'. Removing unused module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx'. Removing unused module `$paramod$d236a3555e5fe48231d3a0bdd0f1031eebbe67d5\ClkDivider'. Removing unused module `$paramod$6cc68bce6e5ef8358e4f854f8e1607a73728e8ac\Interpreter'. Removing unused module `$paramod$0ebcb351531b968e3a65ee57624639e797f21099\UART'. Removing unused module `\Controller'. Removing unused module `\Interpreter'. Removing unused module `\Memory'. Removing unused module `\ClkDivider'. Removing unused module `\ResetBootSystem'. Removing unused module `\FIFO'. Removing unused module `\uart_tx'. Removing unused module `\uart_rx'. Removing unused module `\UART'. Removing unused module `\Core'. Removed 15 unused modules. 21.4. Executing PROC pass (convert processes to netlists). 21.4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$583'. Found and cleaned up 1 empty switch in `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:0$783'. Removing empty process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:0$783'. Found and cleaned up 1 empty switch in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:130$102'. Cleaned up 3 empty switches. 21.4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$690 in module TRELLIS_FF. Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$642 in module DPR16X4C. Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$584 in module TRELLIS_DPR16X4. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/fifo.v:36$963 in module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/fifo.v:25$955 in module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1156 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1154 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1146 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1143 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1137 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1132 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1127 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1118 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1105 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1103 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1095 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1081 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1075 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1070 in module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/clk_divider.v:57$1057 in module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/clk_divider.v:36$1048 in module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Marked 15 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/interpreter.v:116$1012 in module $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter. Removed 1 dead cases from process $proc$/eda/processor-ci-controller/modules/uart.v:203$1004 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:203$1004 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:187$999 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:132$994 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.v:74$989 in module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/memory.v:36$772 in module $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/controller.v:113$761 in module $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715 in module $paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166 in module Registers. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:15$155 in module PC. Removed 1 dead cases from process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:14$154 in module MUX. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:14$154 in module MUX. Marked 5 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117 in module MDU. Marked 4 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109 in module MDU. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v:18$108 in module Immediate_Generator. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:130$102 in module CSR_Unit. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100 in module CSR_Unit. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:78$99 in module CSR_Unit. Marked 5 switch rules as full_case in process $proc$/eda/processor-ci-controller/src/reset.v:25$693 in module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:703$79 in module Control_Unit. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:693$78 in module Control_Unit. Marked 21 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32 in module Control_Unit. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:149$31 in module Control_Unit. Removed 1 dead cases from process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:9$23 in module ALU_Control. Marked 4 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:9$23 in module ALU_Control. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:26$3 in module Alu. Removed a total of 3 dead cases. 21.4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 17 redundant assignments. Promoted 141 assignments to connections. 21.4.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$691'. Set init value: \Q = 1'0 Found init rule in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:0$988'. Set init value: \read_ptr = 6'000000 Set init value: \write_ptr = 6'000000 Found init rule in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1158'. Set init value: \i = 0 Found init rule in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1111'. Set init value: \i = 0 Found init rule in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:0$1063'. Set init value: \clk_o_auto = 1'0 Set init value: \clk_counter = 0 Set init value: \pulse_counter = 0 Found init rule in `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:0$1041'. Set init value: \state = 8'00000000 Set init value: \counter = 8'00000000 Set init value: \read_buffer = 0 Set init value: \timeout = 0 Set init value: \accumulator = 64'0000000000000000000000000000000000000000000000000000000000000000 Found init rule in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:0$1011'. Set init value: \read_data = 0 Set init value: \read_response = 1'0 Set init value: \write_response = 1'0 Set init value: \uart_tx_en = 1'0 Set init value: \tx_fifo_read = 1'0 Set init value: \tx_fifo_write = 1'0 Set init value: \rx_fifo_read = 1'0 Set init value: \rx_fifo_write = 1'0 Set init value: \uart_tx_data = 8'00000000 Set init value: \tx_fifo_write_data = 8'00000000 Set init value: \rx_fifo_write_data = 8'00000000 Set init value: \counter_write = 3'000 Set init value: \counter_read = 3'000 Set init value: \state_read = 4'0000 Set init value: \state_write = 4'0000 Found init rule in `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:0$718'. Set init value: \instruction_register = 0 Set init value: \memory_register = 0 Set init value: \alu_out_register = 0 Set init value: \register_data_1 = 0 Set init value: \register_data_2 = 0 Set init value: \pc_old = 0 Found init rule in `\PC.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:0$158'. Set init value: \Output = 0 Found init rule in `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:0$153'. Set init value: \state_mul = 2'00 Set init value: \state_div = 2'00 Set init value: \Data_X = 0 Set init value: \Data_Y = 0 Set init value: \MUL_RD = 0 Set init value: \acumulador = 64'0000000000000000000000000000000000000000000000000000000000000000 Found init rule in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:0$107'. Set init value: \mepc = 0 Set init value: \mscratch = 0 Set init value: \mcause = 0 Set init value: \mtval = 0 Set init value: \mtvec = 0 Set init value: \mcycle = 64'0000000000000000000000000000000000000000000000000000000000000000 Set init value: \minstret = 64'0000000000000000000000000000000000000000000000000000000000000000 Set init value: \utime = 64'0000000000000000000000000000000000000000000000000000000000000000 Found init rule in `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:0$700'. Set init value: \reset_o = 1'0 Set init value: \state = 2'01 Set init value: \counter = 6'000000 Found init rule in `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:0$80'. Set init value: \memory_read = 1'0 Set init value: \memory_write = 1'0 Set init value: \is_immediate = 1'0 Set init value: \pc_write = 1'0 Set init value: \ir_write = 1'0 Set init value: \pc_source = 1'0 Set init value: \reg_write = 1'0 Set init value: \pc_write_cond = 1'0 Set init value: \csr_write_enable = 1'0 Set init value: \alu_input_selector = 1'0 Set init value: \save_address = 1'0 Set init value: \save_value = 1'0 Set init value: \save_value_2 = 1'0 Set init value: \save_write_value = 1'0 Set init value: \control_memory_op = 1'0 Set init value: \write_data_in = 1'0 Set init value: \mdu_start = 1'0 Set init value: \lorD = 2'00 Set init value: \aluop = 2'00 Set init value: \alu_src_a = 3'000 Set init value: \alu_src_b = 3'000 Set init value: \memory_to_reg = 3'000 Set init value: \control_unit_memory_op = 3'010 Set init value: \control_unit_aluop = 4'0000 Set init value: \state = 6'000000 Set init value: \nextstate = 6'000000 21.4.5. Executing PROC_ARST pass (detect async resets in processes). 21.4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 21.4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$691'. Creating decoders for process `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$690'. 1/1: $0\Q[0:0] Creating decoders for process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. Creating decoders for process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$642'. 1/3: $1$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$641_EN[3:0]$648 2/3: $1$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$641_DATA[3:0]$647 3/3: $1$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$641_ADDR[3:0]$646 Creating decoders for process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. Creating decoders for process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$584'. 1/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$582_EN[3:0]$590 2/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$582_DATA[3:0]$589 3/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$582_ADDR[3:0]$588 Creating decoders for process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$583'. Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:0$988'. Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$963'. 1/7: $2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$975 2/7: $2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_DATA[7:0]$974 3/7: $2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_ADDR[5:0]$973 4/7: $1$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$969 5/7: $1$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_DATA[7:0]$968 6/7: $1$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_ADDR[5:0]$967 7/7: $0\write_ptr[5:0] Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$955'. 1/2: $0\read_ptr[5:0] 2/2: $0\read_data[7:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1158'. Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1156'. 1/2: $0\rxd_reg_0[0:0] 2/2: $0\rxd_reg[0:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1154'. 1/1: $0\fsm_state[2:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1146'. 1/1: $0\cycle_counter[8:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1143'. 1/1: $0\bit_sample[0:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1137'. 1/1: $0\bit_counter[3:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1132'. 1/11: $3\i[31:0] 2/11: $0\recieved_data[7:0] [1] 3/11: $0\recieved_data[7:0] [0] 4/11: $0\recieved_data[7:0] [2] 5/11: $0\recieved_data[7:0] [3] 6/11: $0\recieved_data[7:0] [4] 7/11: $0\recieved_data[7:0] [5] 8/11: $0\recieved_data[7:0] [6] 9/11: $0\recieved_data[7:0] [7] 10/11: $1\i[31:0] 11/11: $2\i[31:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1127'. 1/1: $1\n_fsm_state[2:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1118'. 1/1: $0\uart_rx_data[7:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1111'. Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1105'. 1/1: $0\txd_reg[0:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1103'. 1/1: $0\fsm_state[2:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1095'. 1/1: $0\cycle_counter[8:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1081'. 1/1: $0\bit_counter[3:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1075'. 1/11: $3\i[31:0] 2/11: $0\data_to_send[7:0] [1] 3/11: $0\data_to_send[7:0] [0] 4/11: $0\data_to_send[7:0] [2] 5/11: $0\data_to_send[7:0] [3] 6/11: $0\data_to_send[7:0] [4] 7/11: $0\data_to_send[7:0] [5] 8/11: $0\data_to_send[7:0] [6] 9/11: $0\data_to_send[7:0] [7] 10/11: $1\i[31:0] 11/11: $2\i[31:0] Creating decoders for process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1070'. 1/1: $1\n_fsm_state[2:0] Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:0$1063'. Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1057'. 1/1: $0\pulse_counter[31:0] Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1048'. 1/2: $0\clk_counter[31:0] 2/2: $0\clk_o_auto[0:0] Creating decoders for process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:0$1041'. Creating decoders for process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. 1/28: $0\state[7:0] 2/28: $0\reset_bus[0:0] 3/28: $0\memory_write[0:0] 4/28: $0\memory_read[0:0] 5/28: $0\write_pulse[0:0] 6/28: $0\core_reset[0:0] 7/28: $0\communication_write[0:0] 8/28: $0\communication_read[0:0] 9/28: $0\temp_buffer[63:0] 10/28: $0\accumulator[63:0] 11/28: $0\timeout_counter[31:0] 12/28: $0\timeout[31:0] 13/28: $0\read_buffer[31:0] 14/28: $0\communication_buffer[31:0] 15/28: $0\num_of_positions[23:0] 16/28: $0\num_of_pages[23:0] 17/28: $0\return_state[7:0] 18/28: $0\memory_page_number[23:0] 19/28: $0\memory_mux_selector[0:0] 20/28: $0\end_position[31:0] 21/28: $0\memory_page_size[23:0] 22/28: $0\bus_mode[0:0] 23/28: $0\num_of_cycles_to_pulse[31:0] 24/28: $0\core_clk_enable[0:0] 25/28: $0\communication_write_data[31:0] 26/28: $0\counter[7:0] 27/28: $0\write_data[31:0] 28/28: $0\address[31:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:0$1011'. Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1004'. 1/4: $0\tx_fifo_read[0:0] 2/4: $0\uart_tx_en[0:0] 3/4: $0\tx_fifo_read_state[1:0] 4/4: $0\uart_tx_data[7:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$999'. 1/2: $0\rx_fifo_write[0:0] 2/2: $0\rx_fifo_write_data[7:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. 1/6: $0\tx_fifo_write[0:0] 2/6: $0\write_response[0:0] 3/6: $0\state_write[3:0] 4/6: $0\counter_write[2:0] 5/6: $0\write_data_buffer[31:0] 6/6: $0\tx_fifo_write_data[7:0] Creating decoders for process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. 1/5: $0\read_response[0:0] 2/5: $0\rx_fifo_read[0:0] 3/5: $0\state_read[3:0] 4/5: $0\counter_read[2:0] 5/5: $0\read_data[31:0] Creating decoders for process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$782'. Creating decoders for process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$772'. 1/4: $1$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$781 2/4: $1$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_DATA[31:0]$780 3/4: $1$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_ADDR[31:0]$779 4/4: $0\read_sync[31:0] Creating decoders for process `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$761'. 1/1: $0\finish_execution[0:0] Creating decoders for process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:0$718'. Creating decoders for process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. 1/7: $0\alu_out_register[31:0] 2/7: $0\register_data_2[31:0] 3/7: $0\register_data_1[31:0] 4/7: $0\memory_register[31:0] 5/7: $0\mdu_out_reg[31:0] 6/7: $0\pc_old[31:0] 7/7: $0\instruction_register[31:0] Creating decoders for process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:0$184'. Creating decoders for process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. 1/9: $2$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$181 2/9: $2$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_DATA[31:0]$180 3/9: $2$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_ADDR[4:0]$179 4/9: $2$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$182 5/9: $1$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$173 6/9: $1$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$177 7/9: $1$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$176 8/9: $1$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_DATA[31:0]$175 9/9: $1$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_ADDR[4:0]$174 Creating decoders for process `\PC.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:0$158'. Creating decoders for process `\PC.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:15$155'. 1/1: $0\Output[31:0] Creating decoders for process `\MUX.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:14$154'. 1/1: $1\S[31:0] Creating decoders for process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:0$153'. Creating decoders for process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. 1/8: $0\state_div[1:0] 2/8: $0\div_done[0:0] 3/8: $0\divisor[63:0] 4/8: $0\DIV_RD[31:0] 5/8: $0\quociente_msk[31:0] 6/8: $0\quociente[31:0] 7/8: $0\dividendo[31:0] 8/8: $0\negativo[0:0] Creating decoders for process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. 1/6: $0\state_mul[1:0] 2/6: $0\mul_done[0:0] 3/6: $0\acumulador[63:0] 4/6: $0\MUL_RD[31:0] 5/6: $0\Data_Y[31:0] 6/6: $0\Data_X[31:0] Creating decoders for process `\Immediate_Generator.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v:18$108'. 1/2: $2\immediate[31:0] 2/2: $1\immediate[31:0] Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:0$107'. Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:130$102'. 1/1: $0\minstret[63:0] Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. 1/7: $0\mcycle[63:0] 2/7: $0\utime[63:0] 3/7: $0\mtvec[31:0] 4/7: $0\mtval[31:0] 5/7: $0\mcause[31:0] 6/7: $0\mscratch[31:0] 7/7: $0\mepc[31:0] Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:78$99'. 1/1: $0\csr_data_out[31:0] Creating decoders for process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:0$700'. Creating decoders for process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$693'. 1/3: $0\counter[5:0] 2/3: $0\state[1:0] 3/3: $0\reset_o[0:0] Creating decoders for process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:0$80'. Creating decoders for process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:703$79'. 1/2: $0\clear_hal_byte_one_block_option_2[2:0] 2/2: $0\clear_hal_byte_one_block_option[2:0] Creating decoders for process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:693$78'. 1/1: $0\wb_filter[2:0] Creating decoders for process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. 1/23: $0\mdu_start[0:0] 2/23: $0\save_write_value[0:0] 3/23: $0\write_data_in[0:0] 4/23: $0\save_value_2[0:0] 5/23: $0\save_value[0:0] 6/23: $0\control_memory_op[0:0] 7/23: $0\save_address[0:0] 8/23: $0\control_unit_aluop[3:0] 9/23: $0\alu_input_selector[0:0] 10/23: $0\csr_write_enable[0:0] 11/23: $0\is_immediate[0:0] 12/23: $0\reg_write[0:0] 13/23: $0\alu_src_a[2:0] 14/23: $0\alu_src_b[2:0] 15/23: $0\aluop[1:0] 16/23: $0\pc_source[0:0] 17/23: $0\memory_to_reg[2:0] 18/23: $0\memory_write[0:0] 19/23: $0\memory_read[0:0] 20/23: $0\lorD[1:0] 21/23: $0\ir_write[0:0] 22/23: $0\pc_write[0:0] 23/23: $0\pc_write_cond[0:0] Creating decoders for process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32'. 1/21: $21\nextstate[5:0] 2/21: $20\nextstate[5:0] 3/21: $19\nextstate[5:0] 4/21: $18\nextstate[5:0] 5/21: $17\nextstate[5:0] 6/21: $16\nextstate[5:0] 7/21: $15\nextstate[5:0] 8/21: $14\nextstate[5:0] 9/21: $13\nextstate[5:0] 10/21: $12\nextstate[5:0] 11/21: $11\nextstate[5:0] 12/21: $10\nextstate[5:0] 13/21: $9\nextstate[5:0] 14/21: $8\nextstate[5:0] 15/21: $7\nextstate[5:0] 16/21: $6\nextstate[5:0] 17/21: $5\nextstate[5:0] 18/21: $4\nextstate[5:0] 19/21: $3\nextstate[5:0] 20/21: $2\nextstate[5:0] 21/21: $1\nextstate[5:0] Creating decoders for process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:149$31'. 1/1: $0\state[5:0] Creating decoders for process `\ALU_Control.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:9$23'. 1/1: $0\aluop_out[3:0] Creating decoders for process `\Alu.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:26$3'. 1/1: $0\ALU_out_S[31:0] 21.4.8. Executing PROC_DLATCH pass (convert process syncs to latches). No latch inferred for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\n_fsm_state' from process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1127'. No latch inferred for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\n_fsm_state' from process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1070'. No latch inferred for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:22$159_EN' from process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:0$184'. No latch inferred for signal `\MUX.\S' from process `\MUX.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:14$154'. No latch inferred for signal `\Immediate_Generator.\immediate' from process `\Immediate_Generator.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v:18$108'. No latch inferred for signal `\CSR_Unit.\csr_data_out' from process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:78$99'. No latch inferred for signal `\Control_Unit.\clear_hal_byte_one_block_option' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:703$79'. No latch inferred for signal `\Control_Unit.\clear_hal_byte_one_block_option_2' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:703$79'. No latch inferred for signal `\Control_Unit.\wb_filter' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:693$78'. No latch inferred for signal `\Control_Unit.\memory_read' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\memory_read` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\memory_write' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\memory_write` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\is_immediate' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\is_immediate` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\pc_write' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\pc_write` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\ir_write' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\ir_write` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\pc_source' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\pc_source` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\reg_write' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\reg_write` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\pc_write_cond' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\pc_write_cond` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\csr_write_enable' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\csr_write_enable` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\alu_input_selector' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\alu_input_selector` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\save_address' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\save_address` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\save_value' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\save_value` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\save_value_2' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\save_value_2` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\save_write_value' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\save_write_value` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\control_memory_op' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\control_memory_op` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\write_data_in' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\write_data_in` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\mdu_start' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\mdu_start` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\lorD' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\lorD [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\lorD [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\aluop' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\aluop [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\aluop [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\alu_src_a' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\alu_src_a [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\alu_src_a [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\alu_src_a [2]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\alu_src_b' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\alu_src_b [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\alu_src_b [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\alu_src_b [2]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\memory_to_reg' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\memory_to_reg [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\memory_to_reg [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\memory_to_reg [2]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\control_unit_memory_op' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\control_unit_memory_op [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'1 for non-memory siginal `\Control_Unit.\control_unit_memory_op [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\control_unit_memory_op [2]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\control_unit_aluop' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\control_unit_aluop [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\control_unit_aluop [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\control_unit_aluop [2]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\control_unit_aluop [3]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65`. No latch inferred for signal `\Control_Unit.\nextstate' from process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32'. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\nextstate [0]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\nextstate [1]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\nextstate [2]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\nextstate [3]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\nextstate [4]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32`. Removing init bit 1'0 for non-memory siginal `\Control_Unit.\nextstate [5]` in process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32`. No latch inferred for signal `\ALU_Control.\aluop_out' from process `\ALU_Control.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:9$23'. No latch inferred for signal `\Alu.\ALU_out_S' from process `\Alu.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:26$3'. 21.4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\TRELLIS_FF.\Q' using process `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$690'. created $dff cell `$procdff$4114' with positive edge clock. Creating register for signal `\DPR16X4C.\i' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$626_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$627_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$628_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$629_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$630_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$631_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$632_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$633_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$634_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$635_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$636_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$637_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$638_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$639_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:281$640_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$641_ADDR' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$642'. created $dff cell `$procdff$4115' with positive edge clock. Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$641_DATA' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$642'. created $dff cell `$procdff$4116' with positive edge clock. Creating register for signal `\DPR16X4C.$memwr$\ram$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:287$641_EN' using process `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$642'. created $dff cell `$procdff$4117' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.\i' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$566_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$567_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$568_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$569_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$570_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$571_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$572_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$573_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$574_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$575_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$576_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$577_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$578_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$579_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$580_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$581_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$582_ADDR' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$584'. created $dff cell `$procdff$4118' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$582_DATA' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$584'. created $dff cell `$procdff$4119' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$582_EN' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$584'. created $dff cell `$procdff$4120' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.\muxwre' using process `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$583'. created direct connection (no actual register cell created). Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\write_ptr' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$963'. created $dff cell `$procdff$4121' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_ADDR' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$963'. created $dff cell `$procdff$4122' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_DATA' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$963'. created $dff cell `$procdff$4123' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$963'. created $dff cell `$procdff$4124' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\read_data' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$955'. created $dff cell `$procdff$4125' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\read_ptr' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$955'. created $dff cell `$procdff$4126' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\rxd_reg' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1156'. created $dff cell `$procdff$4127' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\rxd_reg_0' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1156'. created $dff cell `$procdff$4128' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\fsm_state' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1154'. created $dff cell `$procdff$4129' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\cycle_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1146'. created $dff cell `$procdff$4130' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\bit_sample' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1143'. created $dff cell `$procdff$4131' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\bit_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1137'. created $dff cell `$procdff$4132' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\recieved_data' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1132'. created $dff cell `$procdff$4133' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\i' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1132'. created $dff cell `$procdff$4134' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.\uart_rx_data' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1118'. created $dff cell `$procdff$4135' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\txd_reg' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1105'. created $dff cell `$procdff$4136' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\fsm_state' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1103'. created $dff cell `$procdff$4137' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\cycle_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1095'. created $dff cell `$procdff$4138' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\bit_counter' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1081'. created $dff cell `$procdff$4139' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\i' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1075'. created $dff cell `$procdff$4140' with positive edge clock. Creating register for signal `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.\data_to_send' using process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1075'. created $dff cell `$procdff$4141' with positive edge clock. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\pulse_counter' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1057'. created $dff cell `$procdff$4142' with positive edge clock. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\clk_o_auto' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1048'. created $dff cell `$procdff$4143' with positive edge clock. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\clk_counter' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1048'. created $dff cell `$procdff$4144' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_read' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4145' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_write' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4146' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\address' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4147' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\write_data' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4148' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\state' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4149' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\counter' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4150' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\write_pulse' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4151' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_read' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4152' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_write' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4153' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_write_data' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4154' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\core_clk_enable' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4155' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\core_reset' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4156' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\num_of_cycles_to_pulse' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4157' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\reset_bus' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4158' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\bus_mode' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4159' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_page_size' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4160' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\end_position' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4161' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_mux_selector' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4162' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\memory_page_number' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4163' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\return_state' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4164' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\num_of_pages' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4165' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\num_of_positions' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4166' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\communication_buffer' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4167' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\read_buffer' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4168' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\timeout' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4169' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\timeout_counter' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4170' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\accumulator' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4171' with positive edge clock. Creating register for signal `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.\temp_buffer' using process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. created $dff cell `$procdff$4172' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\uart_tx_en' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1004'. created $dff cell `$procdff$4173' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1004'. created $dff cell `$procdff$4174' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\uart_tx_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1004'. created $dff cell `$procdff$4175' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_read_state' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1004'. created $dff cell `$procdff$4176' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\rx_fifo_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$999'. created $dff cell `$procdff$4177' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\rx_fifo_write_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$999'. created $dff cell `$procdff$4178' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\write_response' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. created $dff cell `$procdff$4179' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. created $dff cell `$procdff$4180' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\tx_fifo_write_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. created $dff cell `$procdff$4181' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\write_data_buffer' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. created $dff cell `$procdff$4182' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\counter_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. created $dff cell `$procdff$4183' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\state_write' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. created $dff cell `$procdff$4184' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\read_data' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. created $dff cell `$procdff$4185' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\read_response' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. created $dff cell `$procdff$4186' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\rx_fifo_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. created $dff cell `$procdff$4187' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\counter_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. created $dff cell `$procdff$4188' with positive edge clock. Creating register for signal `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.\state_read' using process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. created $dff cell `$procdff$4189' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.\sync_write_response' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$782'. created $dff cell `$procdff$4190' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.\sync_read_response' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$782'. created $dff cell `$procdff$4191' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.\read_sync' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$772'. created $dff cell `$procdff$4192' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_ADDR' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$772'. created $dff cell `$procdff$4193' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_DATA' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$772'. created $dff cell `$procdff$4194' with positive edge clock. Creating register for signal `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN' using process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$772'. created $dff cell `$procdff$4195' with positive edge clock. Creating register for signal `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.\finish_execution' using process `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$761'. created $dff cell `$procdff$4196' with positive edge clock. Creating register for signal `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.\instruction_register' using process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. created $dff cell `$procdff$4197' with positive edge clock. Creating register for signal `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.\memory_register' using process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. created $dff cell `$procdff$4198' with positive edge clock. Creating register for signal `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.\alu_out_register' using process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. created $dff cell `$procdff$4199' with positive edge clock. Creating register for signal `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.\register_data_1' using process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. created $dff cell `$procdff$4200' with positive edge clock. Creating register for signal `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.\register_data_2' using process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. created $dff cell `$procdff$4201' with positive edge clock. Creating register for signal `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.\pc_old' using process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. created $dff cell `$procdff$4202' with positive edge clock. Creating register for signal `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.\mdu_out_reg' using process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. created $dff cell `$procdff$4203' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN' using process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. created $dff cell `$procdff$4204' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_ADDR' using process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. created $dff cell `$procdff$4205' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_DATA' using process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. created $dff cell `$procdff$4206' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN' using process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. created $dff cell `$procdff$4207' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN' using process `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. created $dff cell `$procdff$4208' with positive edge clock. Creating register for signal `\PC.\Output' using process `\PC.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:15$155'. created $dff cell `$procdff$4209' with positive edge clock. Creating register for signal `\MDU.\div_done' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4210' with positive edge clock. Creating register for signal `\MDU.\state_div' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4211' with positive edge clock. Creating register for signal `\MDU.\negativo' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4212' with positive edge clock. Creating register for signal `\MDU.\dividendo' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4213' with positive edge clock. Creating register for signal `\MDU.\quociente' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4214' with positive edge clock. Creating register for signal `\MDU.\quociente_msk' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4215' with positive edge clock. Creating register for signal `\MDU.\DIV_RD' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4216' with positive edge clock. Creating register for signal `\MDU.\divisor' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. created $dff cell `$procdff$4217' with positive edge clock. Creating register for signal `\MDU.\mul_done' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. created $dff cell `$procdff$4218' with positive edge clock. Creating register for signal `\MDU.\state_mul' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. created $dff cell `$procdff$4219' with positive edge clock. Creating register for signal `\MDU.\Data_X' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. created $dff cell `$procdff$4220' with positive edge clock. Creating register for signal `\MDU.\Data_Y' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. created $dff cell `$procdff$4221' with positive edge clock. Creating register for signal `\MDU.\MUL_RD' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. created $dff cell `$procdff$4222' with positive edge clock. Creating register for signal `\MDU.\acumulador' using process `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. created $dff cell `$procdff$4223' with positive edge clock. Creating register for signal `\CSR_Unit.\minstret' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:130$102'. created $dff cell `$procdff$4224' with positive edge clock. Creating register for signal `\CSR_Unit.\mepc' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. created $dff cell `$procdff$4225' with positive edge clock. Creating register for signal `\CSR_Unit.\mscratch' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. created $dff cell `$procdff$4226' with positive edge clock. Creating register for signal `\CSR_Unit.\mcause' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. created $dff cell `$procdff$4227' with positive edge clock. Creating register for signal `\CSR_Unit.\mtval' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. created $dff cell `$procdff$4228' with positive edge clock. Creating register for signal `\CSR_Unit.\mtvec' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. created $dff cell `$procdff$4229' with positive edge clock. Creating register for signal `\CSR_Unit.\mcycle' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. created $dff cell `$procdff$4230' with positive edge clock. Creating register for signal `\CSR_Unit.\utime' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. created $dff cell `$procdff$4231' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\reset_o' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$693'. created $dff cell `$procdff$4232' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\state' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$693'. created $dff cell `$procdff$4233' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\counter' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$693'. created $dff cell `$procdff$4234' with positive edge clock. Creating register for signal `\Control_Unit.\state' using process `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:149$31'. created $dff cell `$procdff$4235' with positive edge clock. 21.4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 21.4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$691'. Found and cleaned up 2 empty switches in `\TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$690'. Removing empty process `TRELLIS_FF.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:350$690'. Removing empty process `DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$665'. Found and cleaned up 1 empty switch in `\DPR16X4C.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:285$642'. Removing empty process `TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$608'. Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$584'. Removing empty process `TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$583'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:0$988'. Found and cleaned up 2 empty switches in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$963'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:36$963'. Found and cleaned up 2 empty switches in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$955'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/src/fifo.v:25$955'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1158'. Found and cleaned up 2 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1156'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1156'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1154'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1154'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1146'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1146'. Found and cleaned up 2 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1143'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1143'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1137'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1137'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1132'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1132'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1127'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1127'. Found and cleaned up 2 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1118'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1118'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1111'. Found and cleaned up 5 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1105'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1105'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1103'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1103'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1095'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1095'. Found and cleaned up 5 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1081'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1081'. Found and cleaned up 3 empty switches in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1075'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1075'. Found and cleaned up 1 empty switch in `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1070'. Removing empty process `$paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1070'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:0$1063'. Found and cleaned up 4 empty switches in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1057'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:57$1057'. Found and cleaned up 4 empty switches in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1048'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/src/clk_divider.v:36$1048'. Removing empty process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:0$1041'. Found and cleaned up 15 empty switches in `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. Removing empty process `$paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter.$proc$/eda/processor-ci-controller/src/interpreter.v:116$1012'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:0$1011'. Found and cleaned up 3 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1004'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:203$1004'. Found and cleaned up 2 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$999'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:187$999'. Found and cleaned up 5 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:132$994'. Found and cleaned up 5 empty switches in `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. Removing empty process `$paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART.$proc$/eda/processor-ci-controller/modules/uart.v:74$989'. Removing empty process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:45$782'. Found and cleaned up 2 empty switches in `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$772'. Removing empty process `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory.$proc$/eda/processor-ci-controller/src/memory.v:36$772'. Found and cleaned up 4 empty switches in `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$761'. Removing empty process `$paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller.$proc$/eda/processor-ci-controller/src/controller.v:113$761'. Removing empty process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:0$718'. Found and cleaned up 2 empty switches in `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. Removing empty process `$paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:269$715'. Removing empty process `Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:0$184'. Found and cleaned up 2 empty switches in `\Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. Removing empty process `Registers.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:33$166'. Removing empty process `PC.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:0$158'. Found and cleaned up 2 empty switches in `\PC.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:15$155'. Removing empty process `PC.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:15$155'. Found and cleaned up 1 empty switch in `\MUX.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:14$154'. Removing empty process `MUX.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:14$154'. Removing empty process `MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:0$153'. Found and cleaned up 6 empty switches in `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. Removing empty process `MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:88$117'. Found and cleaned up 4 empty switches in `\MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. Removing empty process `MDU.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:50$109'. Found and cleaned up 2 empty switches in `\Immediate_Generator.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v:18$108'. Removing empty process `Immediate_Generator.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v:18$108'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:0$107'. Found and cleaned up 4 empty switches in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:130$102'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:130$102'. Found and cleaned up 3 empty switches in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:106$100'. Found and cleaned up 1 empty switch in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:78$99'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:78$99'. Removing empty process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:0$700'. Found and cleaned up 6 empty switches in `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$693'. Removing empty process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/src/reset.v:25$693'. Removing empty process `Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:0$80'. Found and cleaned up 1 empty switch in `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:703$79'. Removing empty process `Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:703$79'. Found and cleaned up 1 empty switch in `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:693$78'. Removing empty process `Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:693$78'. Found and cleaned up 1 empty switch in `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Removing empty process `Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:357$65'. Found and cleaned up 21 empty switches in `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32'. Removing empty process `Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:157$32'. Found and cleaned up 1 empty switch in `\Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:149$31'. Removing empty process `Control_Unit.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:149$31'. Found and cleaned up 5 empty switches in `\ALU_Control.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:9$23'. Removing empty process `ALU_Control.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:9$23'. Found and cleaned up 1 empty switch in `\Alu.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:26$3'. Removing empty process `Alu.$proc$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:26$3'. Cleaned up 151 empty switches. 21.4.12. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Optimizing module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Optimizing module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Optimizing module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Optimizing module $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter. Optimizing module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Optimizing module $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory. Optimizing module $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller. Optimizing module $paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000. Optimizing module Registers. Optimizing module PC. Optimizing module MUX. Optimizing module MDU. Optimizing module Immediate_Generator. Optimizing module CSR_Unit. Optimizing module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Optimizing module Control_Unit. Optimizing module ALU_Control. Optimizing module Alu. Optimizing module processorci_top. 21.5. Executing FLATTEN pass (flatten design). Deleting now unused module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Deleting now unused module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_rx. Deleting now unused module $paramod$e9b10436db29f470fcafaa59abb2778c152f6035\uart_tx. Deleting now unused module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Deleting now unused module $paramod$6225aedda1f3667cdd5e9335cb7334668cb837ba\Interpreter. Deleting now unused module $paramod$6159f48792d90307d66afb7435ba8117dc5f9228\UART. Deleting now unused module $paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory. Deleting now unused module $paramod$be568a6683087bc1c783fd234d0a3f16819ced17\Controller. Deleting now unused module $paramod\Core\BOOT_ADDRESS=32'00000000000000000000000000000000. Deleting now unused module Registers. Deleting now unused module PC. Deleting now unused module MUX. Deleting now unused module MDU. Deleting now unused module Immediate_Generator. Deleting now unused module CSR_Unit. Deleting now unused module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Deleting now unused module Control_Unit. Deleting now unused module ALU_Control. Deleting now unused module Alu. 21.6. Executing TRIBUF pass. 21.7. Executing DEMINOUT pass (demote inout ports to input or output). 21.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 113 unused cells and 964 unused wires. 21.10. Executing CHECK pass (checking for obvious problems). Checking module processorci_top... Warning: Wire processorci_top.\miso is used but has no driver. Warning: Wire processorci_top.\intr is used but has no driver. Warning: Wire processorci_top.\ResetBootSystem.start is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [31] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [30] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [29] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [28] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [27] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [26] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [25] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [24] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [23] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [22] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [21] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [20] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [19] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [18] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [17] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [16] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [15] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [14] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [13] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [12] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [11] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [10] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [9] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [8] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [7] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [6] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [5] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [4] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [3] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [2] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [1] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.C [0] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [31] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [30] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [29] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [28] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [27] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [26] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [25] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [24] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [23] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [22] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [21] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [20] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [19] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [18] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [17] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [16] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [15] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [14] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [13] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [12] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [11] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [10] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [9] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [8] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [7] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [6] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [5] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [4] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [3] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [2] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [1] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.D [0] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [31] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [30] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [29] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [28] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [27] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [26] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [25] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [24] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [23] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [22] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [21] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [20] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [19] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [18] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [17] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [16] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [15] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [14] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [13] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [12] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [11] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [10] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [9] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [8] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [7] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [6] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [5] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [4] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [3] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [2] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [1] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.E [0] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [31] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [30] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [29] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [28] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [27] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [26] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [25] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [24] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [23] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [22] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [21] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [20] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [19] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [18] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [17] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [16] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [15] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [14] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [13] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [12] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [11] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [10] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [9] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [8] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [7] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [6] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [5] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [4] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [3] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [2] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [1] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.F [0] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [31] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [30] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [29] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [28] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [27] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [26] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [25] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [24] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [23] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [22] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [21] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [20] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [19] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [18] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [17] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [16] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [15] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [14] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [13] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [12] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [11] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [10] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [9] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [8] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [7] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [6] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [5] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [4] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [3] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [2] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [1] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.G [0] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [31] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [30] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [29] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [28] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [27] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [26] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [25] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [24] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [23] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [22] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [21] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [20] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [19] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [18] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [17] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [16] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [15] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [14] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [13] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [12] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [11] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [10] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [9] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [8] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [7] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [6] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [5] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [4] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [3] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [2] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [1] is used but has no driver. Warning: Wire processorci_top.\Core.MemoryAddressMUX.H [0] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [31] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [30] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [29] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [28] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [27] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [26] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [25] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [24] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [23] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [22] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [21] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [20] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [19] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [18] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [17] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [16] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [15] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [14] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [13] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [12] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [11] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [10] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [9] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [8] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [7] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [6] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [5] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [4] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [3] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [2] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [1] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputBMUX.G [0] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [31] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [30] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [29] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [28] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [27] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [26] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [25] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [24] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [23] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [22] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [21] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [20] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [19] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [18] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [17] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [16] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [15] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [14] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [13] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [12] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [11] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [10] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [9] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [8] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [7] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [6] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [5] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [4] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [3] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [2] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [31] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [30] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [29] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [28] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [27] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [26] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [25] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [24] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [23] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [22] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [21] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [20] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [19] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [18] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [17] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [16] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [15] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [14] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [13] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [12] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [11] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [10] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [9] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [8] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [7] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [6] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [5] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [4] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [3] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [2] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [1] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.H [0] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [31] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [30] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [29] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [28] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [27] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [26] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [25] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [24] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [23] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [22] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [21] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [20] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [19] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [18] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [17] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [16] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [15] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [14] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [13] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [12] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [11] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [10] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [9] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [8] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [7] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [6] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [5] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [4] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [3] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [2] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [1] is used but has no driver. Warning: Wire processorci_top.\Core.temp_write_value [0] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [1] is used but has no driver. Warning: Wire processorci_top.\Core.AluInputAMUX.G [0] is used but has no driver. Found and reported 323 problems. 21.11. Executing OPT pass (performing simple optimizations). 21.11.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 354 cells. 21.11.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 1/2 on $mux $flatten\Controller.\Uart.\RX_FIFO.$procmux$1186. dead port 1/2 on $mux $flatten\Controller.\Uart.\RX_FIFO.$procmux$1192. dead port 1/2 on $mux $flatten\Controller.\Uart.\RX_FIFO.$procmux$1198. dead port 1/2 on $mux $flatten\Controller.\Uart.\TX_FIFO.$procmux$1186. dead port 1/2 on $mux $flatten\Controller.\Uart.\TX_FIFO.$procmux$1192. dead port 1/2 on $mux $flatten\Controller.\Uart.\TX_FIFO.$procmux$1198. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3305. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3314. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3330. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3349. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3351. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3369. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3390. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3414. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3442. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3472. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3505. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3541. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3579. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3628. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3679. dead port 1/2 on $mux $flatten\Core.\Control_Unit.$procmux$3732. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3734. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3787. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3789. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3841. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3902. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3904. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$3966. dead port 2/2 on $mux $flatten\Core.\Control_Unit.$procmux$4021. dead port 2/2 on $mux $flatten\Core.\Immediate_Generator.$procmux$2684. dead port 1/9 on $pmux $flatten\Core.\MemoryAddressMUX.$procmux$2521. dead port 2/9 on $pmux $flatten\Core.\MemoryAddressMUX.$procmux$2521. dead port 3/9 on $pmux $flatten\Core.\MemoryAddressMUX.$procmux$2521. dead port 4/9 on $pmux $flatten\Core.\MemoryAddressMUX.$procmux$2521. dead port 1/2 on $mux $flatten\Core.\RegisterBank.$procmux$2481. dead port 1/2 on $mux $flatten\Core.\RegisterBank.$procmux$2487. dead port 1/2 on $mux $flatten\Core.\RegisterBank.$procmux$2493. dead port 1/2 on $mux $flatten\Core.\RegisterBank.$procmux$2499. Removed 39 multiplexer ports. 21.11.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New ctrl vector for $pmux cell $flatten\Controller.\Uart.$procmux$2364: $auto$opt_reduce.cc:137:opt_pmux$4266 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183: Old ports: A=8'00000000, B=8'11111111, Y=$flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] New connections: $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [7:1] = { $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0] } Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183: Old ports: A=8'00000000, B=8'11111111, Y=$flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] New connections: $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [7:1] = { $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] $flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0] } New ctrl vector for $pmux cell $flatten\Core.\CSR_Unit.$procmux$2759: { $flatten\Core.\CSR_Unit.$procmux$2776_CMP $flatten\Core.\CSR_Unit.$procmux$2773_CMP $flatten\Core.\CSR_Unit.$procmux$2771_CMP $flatten\Core.\CSR_Unit.$procmux$2770_CMP $flatten\Core.\CSR_Unit.$procmux$2769_CMP $flatten\Core.\CSR_Unit.$procmux$2714_CMP $flatten\Core.\CSR_Unit.$procmux$2741_CMP $flatten\Core.\CSR_Unit.$procmux$2752_CMP $flatten\Core.\CSR_Unit.$procmux$2731_CMP $flatten\Core.\CSR_Unit.$procmux$2722_CMP $auto$opt_reduce.cc:137:opt_pmux$4274 $auto$opt_reduce.cc:137:opt_pmux$4272 $auto$opt_reduce.cc:137:opt_pmux$4270 $auto$opt_reduce.cc:137:opt_pmux$4268 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1622: $auto$opt_reduce.cc:137:opt_pmux$4276 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1646: $auto$opt_reduce.cc:137:opt_pmux$4278 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$2824: { $flatten\Core.\Control_Unit.$procmux$2821_CMP $auto$opt_reduce.cc:137:opt_pmux$4280 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1668: $auto$opt_reduce.cc:137:opt_pmux$4282 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1679: $auto$opt_reduce.cc:137:opt_pmux$4284 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$2870: $auto$opt_reduce.cc:137:opt_pmux$4286 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$2995: { $auto$opt_reduce.cc:137:opt_pmux$4290 $auto$opt_reduce.cc:137:opt_pmux$4288 } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3026: $auto$opt_reduce.cc:137:opt_pmux$4292 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1727: $auto$opt_reduce.cc:137:opt_pmux$4294 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1769: $auto$opt_reduce.cc:137:opt_pmux$4296 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3052: $auto$opt_reduce.cc:137:opt_pmux$4298 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3055: $auto$opt_reduce.cc:137:opt_pmux$4300 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3063: { $flatten\Core.\Control_Unit.$procmux$3005_CMP $auto$opt_reduce.cc:137:opt_pmux$4312 $auto$opt_reduce.cc:137:opt_pmux$4310 $auto$opt_reduce.cc:137:opt_pmux$4308 $auto$opt_reduce.cc:137:opt_pmux$4306 $auto$opt_reduce.cc:137:opt_pmux$4304 $auto$opt_reduce.cc:137:opt_pmux$4302 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1794: { $flatten\Controller.\Interpreter.$procmux$1562_CMP $auto$opt_reduce.cc:137:opt_pmux$4314 $flatten\Controller.\Interpreter.$procmux$1552_CMP } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1847: { $auto$opt_reduce.cc:137:opt_pmux$4318 $auto$opt_reduce.cc:137:opt_pmux$4316 } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3102: { $flatten\Core.\Control_Unit.$procmux$3092_CMP $auto$opt_reduce.cc:137:opt_pmux$4332 $auto$opt_reduce.cc:137:opt_pmux$4330 $auto$opt_reduce.cc:137:opt_pmux$4328 $flatten\Core.\Control_Unit.$procmux$3002_CMP $auto$opt_reduce.cc:137:opt_pmux$4326 $flatten\Core.\Control_Unit.$procmux$2999_CMP $flatten\Core.\Control_Unit.$procmux$2998_CMP $flatten\Core.\Control_Unit.$procmux$2997_CMP $flatten\Core.\Control_Unit.$procmux$2996_CMP $auto$opt_reduce.cc:137:opt_pmux$4324 $auto$opt_reduce.cc:137:opt_pmux$4322 $auto$opt_reduce.cc:137:opt_pmux$4320 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1916: $auto$opt_reduce.cc:137:opt_pmux$4334 Consolidated identical input bits for $mux cell $flatten\Controller.\Data_Memory.$procmux$2432: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] New connections: $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [31:1] = { $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1941: { $flatten\Controller.\Interpreter.$procmux$1562_CMP $auto$opt_reduce.cc:137:opt_pmux$4336 $flatten\Controller.\Interpreter.$procmux$1552_CMP } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3140: { $auto$opt_reduce.cc:137:opt_pmux$4338 $flatten\Core.\Control_Unit.$procmux$3070_CMP } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1969: { $flatten\Controller.\Interpreter.$procmux$1548_CMP $flatten\Controller.\Interpreter.$procmux$1541_CMP $flatten\Controller.\Interpreter.$procmux$1530_CMP $flatten\Controller.\Interpreter.$procmux$1524_CMP $auto$opt_reduce.cc:137:opt_pmux$4340 } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3154: { $flatten\Core.\Control_Unit.$procmux$3061_CMP $auto$opt_reduce.cc:137:opt_pmux$4342 $flatten\Core.\Control_Unit.$procmux$3045_CMP $flatten\Core.\Control_Unit.$procmux$3056_CMP } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3174: $auto$opt_reduce.cc:137:opt_pmux$4344 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3199: $auto$opt_reduce.cc:137:opt_pmux$4346 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3221: { $auto$opt_reduce.cc:137:opt_pmux$4350 $auto$opt_reduce.cc:137:opt_pmux$4348 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2001: { $auto$opt_reduce.cc:137:opt_pmux$4354 $auto$opt_reduce.cc:137:opt_pmux$4352 } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3286: $auto$opt_reduce.cc:137:opt_pmux$4356 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2083: { $flatten\Controller.\Interpreter.$procmux$1542_CMP $auto$opt_reduce.cc:137:opt_pmux$4358 } New ctrl vector for $pmux cell $flatten\Controller.\Uart.$procmux$2300: $auto$opt_reduce.cc:137:opt_pmux$4360 New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3955: { $auto$opt_reduce.cc:137:opt_pmux$4362 $flatten\Core.\Control_Unit.$procmux$3903_CMP $flatten\Core.\Control_Unit.$procmux$3962_CMP $flatten\Core.\Control_Unit.$procmux$3961_CMP $flatten\Core.\Control_Unit.$procmux$3960_CMP $flatten\Core.\Control_Unit.$procmux$3959_CMP $flatten\Core.\Control_Unit.$procmux$3958_CMP $flatten\Core.\Control_Unit.$procmux$3957_CMP $flatten\Core.\Control_Unit.$procmux$3956_CMP } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2094: { $flatten\Controller.\Interpreter.$procmux$1683_CMP $flatten\Controller.\Interpreter.$procmux$1582_CMP $auto$opt_reduce.cc:137:opt_pmux$4364 } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$4025: { $flatten\Core.\Control_Unit.$procmux$3206_CMP $flatten\Core.\Control_Unit.$procmux$3131_CMP $flatten\Core.\Control_Unit.$procmux$3097_CMP $flatten\Core.\Control_Unit.$procmux$3096_CMP $flatten\Core.\Control_Unit.$procmux$3204_CMP $flatten\Core.\Control_Unit.$procmux$3177_CMP $flatten\Core.\Control_Unit.$procmux$3069_CMP $auto$opt_reduce.cc:137:opt_pmux$4368 $flatten\Core.\Control_Unit.$procmux$3203_CMP $flatten\Core.\Control_Unit.$procmux$3009_CMP $flatten\Core.\Control_Unit.$procmux$3060_CMP $flatten\Core.\Control_Unit.$procmux$3094_CMP $flatten\Core.\Control_Unit.$procmux$3202_CMP $flatten\Core.\Control_Unit.$procmux$3008_CMP $flatten\Core.\Control_Unit.$procmux$3092_CMP $flatten\Core.\Control_Unit.$procmux$3201_CMP $flatten\Core.\Control_Unit.$procmux$3007_CMP $flatten\Core.\Control_Unit.$procmux$3006_CMP $flatten\Core.\Control_Unit.$procmux$3005_CMP $flatten\Core.\Control_Unit.$procmux$3004_CMP $flatten\Core.\Control_Unit.$procmux$3087_CMP $flatten\Core.\Control_Unit.$procmux$3086_CMP $flatten\Core.\Control_Unit.$procmux$3002_CMP $flatten\Core.\Control_Unit.$procmux$3001_CMP $auto$opt_reduce.cc:137:opt_pmux$4366 $flatten\Core.\Control_Unit.$procmux$3081_CMP $flatten\Core.\Control_Unit.$procmux$2872_CMP $flatten\Core.\Control_Unit.$procmux$3080_CMP $flatten\Core.\Control_Unit.$procmux$3200_CMP $flatten\Core.\Control_Unit.$procmux$2999_CMP $flatten\Core.\Control_Unit.$procmux$2998_CMP $flatten\Core.\Control_Unit.$procmux$3077_CMP $flatten\Core.\Control_Unit.$procmux$2997_CMP $flatten\Core.\Control_Unit.$procmux$2996_CMP $flatten\Core.\Control_Unit.$procmux$3074_CMP $flatten\Core.\Control_Unit.$procmux$2871_CMP $flatten\Core.\Control_Unit.$procmux$2837_CMP $flatten\Core.\Control_Unit.$procmux$3064_CMP } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2104: { $flatten\Controller.\Interpreter.$procmux$1581_CMP $auto$opt_reduce.cc:137:opt_pmux$4372 $auto$opt_reduce.cc:137:opt_pmux$4370 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1522: { $flatten\Controller.\Interpreter.$procmux$1616_CMP $flatten\Controller.\Interpreter.$procmux$1612_CMP $flatten\Controller.\Interpreter.$procmux$1608_CMP $flatten\Controller.\Interpreter.$procmux$1582_CMP $flatten\Controller.\Interpreter.$procmux$1581_CMP $flatten\Controller.\Interpreter.$procmux$1577_CMP $flatten\Controller.\Interpreter.$procmux$1576_CMP $flatten\Controller.\Interpreter.$procmux$1572_CMP $flatten\Controller.\Interpreter.$procmux$1562_CMP $flatten\Controller.\Interpreter.$procmux$1558_CMP $auto$opt_reduce.cc:137:opt_pmux$4380 $flatten\Controller.\Interpreter.$procmux$1553_CMP $flatten\Controller.\Interpreter.$procmux$1552_CMP $auto$opt_reduce.cc:137:opt_pmux$4378 $flatten\Controller.\Interpreter.$procmux$1547_CMP $flatten\Controller.\Interpreter.$procmux$1546_CMP $flatten\Controller.\Interpreter.$procmux$1541_CMP $flatten\Controller.\Interpreter.$procmux$1537_CMP $flatten\Controller.\Interpreter.$procmux$1536_CMP $auto$opt_reduce.cc:137:opt_pmux$4376 $flatten\Controller.\Interpreter.$procmux$1530_CMP $flatten\Controller.\Interpreter.$procmux$1529_CMP $flatten\Controller.\Interpreter.$procmux$1528_CMP $auto$opt_reduce.cc:137:opt_pmux$4374 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2180: { $auto$opt_reduce.cc:137:opt_pmux$4382 $flatten\Controller.\Interpreter.$procmux$1581_CMP } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2204: { $flatten\Controller.\Interpreter.$procmux$1649_CMP $flatten\Controller.\Interpreter.$procmux$1648_CMP $auto$opt_reduce.cc:137:opt_pmux$4384 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2230: { $auto$opt_reduce.cc:137:opt_pmux$4386 $flatten\Controller.\Interpreter.$procmux$1648_CMP $flatten\Controller.\Interpreter.$procmux$1567_CMP $flatten\Controller.\Interpreter.$procmux$1562_CMP $flatten\Controller.\Interpreter.$procmux$1552_CMP } New ctrl vector for $pmux cell $flatten\Core.\Immediate_Generator.$procmux$2687: { $flatten\Core.\Control_Unit.$procmux$3960_CMP $flatten\Core.\Control_Unit.$procmux$3961_CMP $auto$opt_reduce.cc:137:opt_pmux$4390 $flatten\Core.\Control_Unit.$procmux$3962_CMP $auto$opt_reduce.cc:137:opt_pmux$4388 $flatten\Core.\Control_Unit.$procmux$3956_CMP $flatten\Core.\Control_Unit.$procmux$3964_CMP } Consolidated identical input bits for $mux cell $flatten\Controller.\Memory.$procmux$2432: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 New ports: A=1'0, B=1'1, Y=$flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] New connections: $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [31:1] = { $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] $flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_EN[31:0]$775 [0] } Consolidated identical input bits for $mux cell $flatten\Core.\RegisterBank.$procmux$2478: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Core.\RegisterBank.$procmux$2478_Y New ports: A=1'0, B=1'1, Y=$flatten\Core.\RegisterBank.$procmux$2478_Y [0] New connections: $flatten\Core.\RegisterBank.$procmux$2478_Y [31:1] = { $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] $flatten\Core.\RegisterBank.$procmux$2478_Y [0] } Consolidated identical input bits for $mux cell $flatten\Core.\RegisterBank.$procmux$2496: Old ports: A=32'11111111111111111111111111111111, B=0, Y=$flatten\Core.\RegisterBank.$procmux$2496_Y New ports: A=1'1, B=1'0, Y=$flatten\Core.\RegisterBank.$procmux$2496_Y [0] New connections: $flatten\Core.\RegisterBank.$procmux$2496_Y [31:1] = { $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] $flatten\Core.\RegisterBank.$procmux$2496_Y [0] } Consolidated identical input bits for $mux cell $flatten\Core.\RegisterBank.$procmux$2502: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 New ports: A=1'0, B=1'1, Y=$flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] New connections: $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [31:1] = { $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:35$160_EN[31:0]$167 [0] } Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\RX_FIFO.$procmux$1201: Old ports: A=$flatten\Controller.\Uart.\RX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$975, B=8'00000000, Y=$flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 New ports: A=$flatten\Controller.\Uart.\RX_FIFO.$procmux$1183_Y [0], B=1'0, Y=$flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] New connections: $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [7:1] = { $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] } Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\TX_FIFO.$procmux$1201: Old ports: A=$flatten\Controller.\Uart.\TX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$975, B=8'00000000, Y=$flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 New ports: A=$flatten\Controller.\Uart.\TX_FIFO.$procmux$1183_Y [0], B=1'0, Y=$flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] New connections: $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [7:1] = { $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] $flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_EN[7:0]$966 [0] } Consolidated identical input bits for $mux cell $flatten\Core.\RegisterBank.$procmux$2505: Old ports: A=$flatten\Core.\RegisterBank.$2$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$182, B=0, Y=$flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 New ports: A=$flatten\Core.\RegisterBank.$procmux$2496_Y [0], B=1'0, Y=$flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] New connections: $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [31:1] = { $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:39$162_EN[31:0]$171 [0] } Consolidated identical input bits for $mux cell $flatten\Core.\RegisterBank.$procmux$2508: Old ports: A=$flatten\Core.\RegisterBank.$2$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$181, B=0, Y=$flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 New ports: A=$flatten\Core.\RegisterBank.$procmux$2478_Y [0], B=1'0, Y=$flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] New connections: $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [31:1] = { $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] $flatten\Core.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:37$161_EN[31:0]$170 [0] } Optimizing cells in module \processorci_top. Performed a total of 50 changes. 21.11.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 10 cells. 21.11.6. Executing OPT_DFF pass (perform DFF optimizations). 21.11.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 417 unused wires. 21.11.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.11.9. Rerunning OPT passes. (Maybe there is more to do..) 21.11.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.11.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1794: { $auto$opt_reduce.cc:137:opt_pmux$4314 $auto$opt_reduce.cc:137:opt_pmux$4392 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$1941: { $auto$opt_reduce.cc:137:opt_pmux$4314 $auto$opt_reduce.cc:137:opt_pmux$4394 } New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2230: { $auto$opt_reduce.cc:137:opt_pmux$4386 $flatten\Controller.\Interpreter.$procmux$1648_CMP $flatten\Controller.\Interpreter.$procmux$1567_CMP $auto$opt_reduce.cc:137:opt_pmux$4396 } New ctrl vector for $pmux cell $flatten\Core.\Alu.$procmux$4099: { $flatten\Core.\Alu.$procmux$4113_CMP $flatten\Core.\Alu.$procmux$4112_CMP $flatten\Core.\Alu.$procmux$4111_CMP $flatten\Core.\Alu.$procmux$4110_CMP $auto$opt_reduce.cc:137:opt_pmux$4400 $flatten\Core.\Alu.$procmux$4107_CMP $flatten\Core.\Alu.$procmux$4106_CMP $flatten\Core.\Alu.$procmux$4105_CMP $flatten\Core.\Alu.$procmux$4104_CMP $flatten\Core.\Alu.$procmux$4103_CMP $flatten\Core.\Alu.$procmux$4102_CMP $auto$opt_reduce.cc:137:opt_pmux$4398 } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$3102: { $flatten\Core.\Control_Unit.$procmux$3092_CMP $auto$opt_reduce.cc:137:opt_pmux$4332 $auto$opt_reduce.cc:137:opt_pmux$4330 $auto$opt_reduce.cc:137:opt_pmux$4328 $flatten\Core.\Control_Unit.$procmux$3002_CMP $auto$opt_reduce.cc:137:opt_pmux$4326 $auto$opt_reduce.cc:137:opt_pmux$4404 $auto$opt_reduce.cc:137:opt_pmux$4402 $auto$opt_reduce.cc:137:opt_pmux$4324 $auto$opt_reduce.cc:137:opt_pmux$4322 $auto$opt_reduce.cc:137:opt_pmux$4320 } Optimizing cells in module \processorci_top. Performed a total of 5 changes. 21.11.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 21.11.13. Executing OPT_DFF pass (perform DFF optimizations). 21.11.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 2 unused wires. 21.11.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.11.16. Rerunning OPT passes. (Maybe there is more to do..) 21.11.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.11.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.11.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.11.20. Executing OPT_DFF pass (perform DFF optimizations). 21.11.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.11.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.11.23. Finished OPT passes. (There is nothing left to do.) 21.12. Executing FSM pass (extract and optimize FSM). 21.12.1. Executing FSM_DETECT pass (finding FSMs in design). Not marking processorci_top.Controller.Interpreter.return_state as FSM state register: Users of register don't seem to benefit from recoding. Found FSM state register processorci_top.Controller.Uart.i_uart_rx.fsm_state. Not marking processorci_top.Controller.Uart.i_uart_tx.fsm_state as FSM state register: Users of register don't seem to benefit from recoding. Not marking processorci_top.Controller.Uart.state_read as FSM state register: Register has an initialization value. Not marking processorci_top.Controller.Uart.state_write as FSM state register: Register has an initialization value. Found FSM state register processorci_top.Controller.Uart.tx_fifo_read_state. Not marking processorci_top.Core.CSR_Unit.utime as FSM state register: Users of register don't seem to benefit from recoding. Register has an initialization value. Not marking processorci_top.Core.Control_Unit.state as FSM state register: Register has an initialization value. Not marking processorci_top.Core.Mdu.state_div as FSM state register: Register has an initialization value. Not marking processorci_top.Core.Mdu.state_mul as FSM state register: Register has an initialization value. Not marking processorci_top.ResetBootSystem.state as FSM state register: Register has an initialization value. Circuit seems to be self-resetting. 21.12.2. Executing FSM_EXTRACT pass (extracting FSM from design). Extracting FSM `\Controller.Uart.i_uart_rx.fsm_state' from module `\processorci_top'. found $dff cell for state register: $flatten\Controller.\Uart.\i_uart_rx.$procdff$4129 root of input selection tree: $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] found reset state: 3'000 (guessed from mux tree) found ctrl input: \ResetBootSystem.reset_o found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1122_Y found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1135_Y found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1148_Y found ctrl input: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1134_Y found state code: 3'000 found ctrl input: \Controller.Uart.i_uart_rx.next_bit found state code: 3'011 found ctrl input: \Controller.Uart.i_uart_rx.payload_done found state code: 3'010 found state code: 3'001 found ctrl input: \Controller.Uart.i_uart_rx.rxd_reg found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1148_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1139_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1135_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1134_Y found ctrl output: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1122_Y ctrl inputs: { \ResetBootSystem.reset_o \Controller.Uart.i_uart_rx.rxd_reg \Controller.Uart.i_uart_rx.next_bit \Controller.Uart.i_uart_rx.payload_done } ctrl outputs: { $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1122_Y $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1134_Y $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1135_Y $flatten\Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1139_Y $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1148_Y $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] } transition: 3'000 4'00-- -> 3'001 8'01010001 transition: 3'000 4'01-- -> 3'000 8'01010000 transition: 3'000 4'1--- -> 3'000 8'01010000 transition: 3'010 4'0--0 -> 3'010 8'00100010 transition: 3'010 4'0--1 -> 3'011 8'00100011 transition: 3'010 4'1--- -> 3'000 8'00100000 transition: 3'001 4'0-0- -> 3'001 8'00011001 transition: 3'001 4'0-1- -> 3'010 8'00011010 transition: 3'001 4'1--- -> 3'000 8'00011000 transition: 3'011 4'0-0- -> 3'011 8'10010011 transition: 3'011 4'0-1- -> 3'000 8'10010000 transition: 3'011 4'1--- -> 3'000 8'10010000 Extracting FSM `\Controller.Uart.tx_fifo_read_state' from module `\processorci_top'. found $dff cell for state register: $flatten\Controller.\Uart.$procdff$4176 root of input selection tree: $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] found reset state: 2'00 (guessed from mux tree) found ctrl input: \ResetBootSystem.reset_o found ctrl input: $flatten\Controller.\Uart.$procmux$2261_CMP found ctrl input: $flatten\Controller.\Uart.$procmux$2256_CMP found ctrl input: $flatten\Controller.\Uart.$procmux$2263_CMP found ctrl input: $flatten\Controller.\Uart.$procmux$2250_CMP found state code: 2'00 found state code: 2'11 found state code: 2'10 found ctrl input: $flatten\Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.v:215$1008_Y found state code: 2'01 found ctrl output: $flatten\Controller.\Uart.$procmux$2250_CMP found ctrl output: $flatten\Controller.\Uart.$procmux$2256_CMP found ctrl output: $flatten\Controller.\Uart.$procmux$2261_CMP found ctrl output: $flatten\Controller.\Uart.$procmux$2263_CMP ctrl inputs: { \ResetBootSystem.reset_o $flatten\Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.v:215$1008_Y } ctrl outputs: { $flatten\Controller.\Uart.$procmux$2263_CMP $flatten\Controller.\Uart.$procmux$2261_CMP $flatten\Controller.\Uart.$procmux$2256_CMP $flatten\Controller.\Uart.$procmux$2250_CMP $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] } transition: 2'00 2'00 -> 2'00 6'000100 transition: 2'00 2'01 -> 2'01 6'000101 transition: 2'00 2'1- -> 2'00 6'000100 transition: 2'10 2'0- -> 2'11 6'001011 transition: 2'10 2'1- -> 2'00 6'001000 transition: 2'01 2'0- -> 2'10 6'100010 transition: 2'01 2'1- -> 2'00 6'100000 transition: 2'11 2'0- -> 2'00 6'010000 transition: 2'11 2'1- -> 2'00 6'010000 21.12.3. Executing FSM_OPT pass (simple optimizations of FSMs). Optimizing FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4412' from module `\processorci_top'. Merging pattern 2'0- and 2'1- from group (3 0 6'010000). Merging pattern 2'1- and 2'0- from group (3 0 6'010000). Optimizing FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4405' from module `\processorci_top'. 21.12.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 15 unused cells and 15 unused wires. 21.12.5. Executing FSM_OPT pass (simple optimizations of FSMs). Optimizing FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4405' from module `\processorci_top'. Removing unused output signal $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [0]. Removing unused output signal $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [1]. Removing unused output signal $flatten\Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [2]. Optimizing FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4412' from module `\processorci_top'. Removing unused output signal $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] [0]. Removing unused output signal $flatten\Controller.\Uart.$0\tx_fifo_read_state[1:0] [1]. Removing unused output signal $flatten\Controller.\Uart.$procmux$2261_CMP. Removing unused output signal $flatten\Controller.\Uart.$procmux$2263_CMP. 21.12.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). Recoding FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4405' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 000 -> ---1 010 -> --1- 001 -> -1-- 011 -> 1--- Recoding FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4412' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 00 -> ---1 10 -> --1- 01 -> -1-- 11 -> 1--- 21.12.7. Executing FSM_INFO pass (dumping all available information on FSM cells). FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4405' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\Controller.Uart.i_uart_rx.fsm_state$4405 (\Controller.Uart.i_uart_rx.fsm_state): Number of input signals: 4 Number of output signals: 5 Number of state bits: 4 Input signals: 0: \Controller.Uart.i_uart_rx.payload_done 1: \Controller.Uart.i_uart_rx.next_bit 2: \Controller.Uart.i_uart_rx.rxd_reg 3: \ResetBootSystem.reset_o Output signals: 0: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1148_Y 1: $flatten\Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1139_Y 2: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1135_Y 3: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1134_Y 4: $flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1122_Y State encoding: 0: 4'---1 1: 4'--1- 2: 4'-1-- 3: 4'1--- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 4'01-- -> 0 5'01010 1: 0 4'1--- -> 0 5'01010 2: 0 4'00-- -> 2 5'01010 3: 1 4'1--- -> 0 5'00100 4: 1 4'0--0 -> 1 5'00100 5: 1 4'0--1 -> 3 5'00100 6: 2 4'1--- -> 0 5'00011 7: 2 4'0-1- -> 1 5'00011 8: 2 4'0-0- -> 2 5'00011 9: 3 4'0-1- -> 0 5'10010 10: 3 4'1--- -> 0 5'10010 11: 3 4'0-0- -> 3 5'10010 ------------------------------------- FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4412' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\Controller.Uart.tx_fifo_read_state$4412 (\Controller.Uart.tx_fifo_read_state): Number of input signals: 2 Number of output signals: 2 Number of state bits: 4 Input signals: 0: $flatten\Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.v:215$1008_Y 1: \ResetBootSystem.reset_o Output signals: 0: $flatten\Controller.\Uart.$procmux$2250_CMP 1: $flatten\Controller.\Uart.$procmux$2256_CMP State encoding: 0: 4'---1 1: 4'--1- 2: 4'-1-- 3: 4'1--- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 2'00 -> 0 2'01 1: 0 2'1- -> 0 2'01 2: 0 2'01 -> 2 2'01 3: 1 2'1- -> 0 2'10 4: 1 2'0- -> 3 2'10 5: 2 2'1- -> 0 2'00 6: 2 2'0- -> 1 2'00 7: 3 2'-- -> 0 2'00 ------------------------------------- 21.12.8. Executing FSM_MAP pass (mapping FSMs to basic logic). Mapping FSM `$fsm$\Controller.Uart.i_uart_rx.fsm_state$4405' from module `\processorci_top'. Mapping FSM `$fsm$\Controller.Uart.tx_fifo_read_state$4412' from module `\processorci_top'. 21.13. Executing OPT pass (performing simple optimizations). 21.13.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.13.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 3 cells. 21.13.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.13.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.13.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.13.6. Executing OPT_DFF pass (perform DFF optimizations). Adding EN signal on $flatten\ResetBootSystem.$procdff$4234 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$0\counter[5:0], Q = \ResetBootSystem.counter). Adding EN signal on $flatten\ResetBootSystem.$procdff$4232 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$0\reset_o[0:0], Q = \ResetBootSystem.reset_o). Adding SRST signal on $flatten\Core.\Pc.$procdff$4209 ($dff) from module processorci_top (D = $flatten\Core.\Pc.$procmux$2516_Y, Q = \Core.Pc.Output, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4500 ($sdff) from module processorci_top (D = \Core.Pc.Input, Q = \Core.Pc.Output). Adding EN signal on $flatten\Core.\Mdu.$procdff$4223 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114_Y, Q = \Core.Mdu.acumulador). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4222 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2643_Y, Q = \Core.Mdu.MUL_RD, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4507 ($sdff) from module processorci_top (D = $flatten\Core.\Mdu.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:80$116_Y, Q = \Core.Mdu.MUL_RD). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4221 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2656_Y, Q = \Core.Mdu.Data_Y, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4509 ($sdff) from module processorci_top (D = \Core.register_data_2, Q = \Core.Mdu.Data_Y). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4220 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2670_Y, Q = \Core.Mdu.Data_X, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4513 ($sdff) from module processorci_top (D = \Core.register_data_1, Q = \Core.Mdu.Data_X). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4219 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2620_Y, Q = \Core.Mdu.state_mul, rval = 2'00). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4218 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2630_Y, Q = \Core.Mdu.mul_done, rval = 1'0). Adding EN signal on $flatten\Core.\Mdu.$procdff$4217 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2552_Y, Q = \Core.Mdu.divisor). Adding EN signal on $flatten\Core.\Mdu.$procdff$4216 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2563_Y, Q = \Core.Mdu.DIV_RD). Adding EN signal on $flatten\Core.\Mdu.$procdff$4215 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2572_Y, Q = \Core.Mdu.quociente_msk). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4214 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2585_Y, Q = \Core.Mdu.quociente, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4542 ($sdff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2585_Y, Q = \Core.Mdu.quociente). Adding EN signal on $flatten\Core.\Mdu.$procdff$4213 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2598_Y, Q = \Core.Mdu.dividendo). Adding EN signal on $flatten\Core.\Mdu.$procdff$4212 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$or$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:99$137_Y, Q = \Core.Mdu.negativo). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4211 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2535_Y, Q = \Core.Mdu.state_div, rval = 2'00). Adding SRST signal on $flatten\Core.\Mdu.$procdff$4210 ($dff) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2545_Y, Q = \Core.Mdu.div_done, rval = 1'0). Adding SRST signal on $flatten\Core.\Control_Unit.$procdff$4235 ($dff) from module processorci_top (D = \Core.Control_Unit.nextstate, Q = \Core.Control_Unit.state, rval = 6'000000). Adding EN signal on $flatten\Core.\CSR_Unit.$procdff$4231 ($dff) from module processorci_top (D = 64'0000000000000000000000000000000000000000000000000000000000000000, Q = \Core.CSR_Unit.utime). Adding SRST signal on $flatten\Core.\CSR_Unit.$procdff$4230 ($dff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:116$101_Y, Q = \Core.CSR_Unit.mcycle, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding SRST signal on $flatten\Core.\CSR_Unit.$procdff$4229 ($dff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$procmux$2715_Y, Q = \Core.CSR_Unit.mtvec, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4573 ($sdff) from module processorci_top (D = \Core.register_data_1, Q = \Core.CSR_Unit.mtvec). Adding SRST signal on $flatten\Core.\CSR_Unit.$procdff$4228 ($dff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$procmux$2723_Y, Q = \Core.CSR_Unit.mtval, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4577 ($sdff) from module processorci_top (D = \Core.register_data_1, Q = \Core.CSR_Unit.mtval). Adding SRST signal on $flatten\Core.\CSR_Unit.$procdff$4227 ($dff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$procmux$2732_Y, Q = \Core.CSR_Unit.mcause, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4581 ($sdff) from module processorci_top (D = \Core.register_data_1, Q = \Core.CSR_Unit.mcause). Adding SRST signal on $flatten\Core.\CSR_Unit.$procdff$4226 ($dff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$procmux$2742_Y, Q = \Core.CSR_Unit.mscratch, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4585 ($sdff) from module processorci_top (D = \Core.register_data_1, Q = \Core.CSR_Unit.mscratch). Adding SRST signal on $flatten\Core.\CSR_Unit.$procdff$4225 ($dff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$procmux$2753_Y, Q = \Core.CSR_Unit.mepc, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4589 ($sdff) from module processorci_top (D = { \Core.register_data_1 [31:2] 2'00 }, Q = \Core.CSR_Unit.mepc). Adding SRST signal on $flatten\Core.\CSR_Unit.$procdff$4224 ($dff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$procmux$2702_Y, Q = \Core.CSR_Unit.minstret, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$4593 ($sdff) from module processorci_top (D = \Core.register_data_1, Q = \Core.CSR_Unit.minstret [63:32]). Adding EN signal on $auto$ff.cc:266:slice$4593 ($sdff) from module processorci_top (D = $flatten\Core.\CSR_Unit.$procmux$2700_Y [31:0], Q = \Core.CSR_Unit.minstret [31:0]). Adding EN signal on $flatten\Core.$procdff$4203 ($dff) from module processorci_top (D = \Core.mdu_out, Q = \Core.mdu_out_reg). Adding SRST signal on $flatten\Core.$procdff$4202 ($dff) from module processorci_top (D = $flatten\Core.$procmux$2467_Y, Q = \Core.pc_old, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4607 ($sdff) from module processorci_top (D = \Core.Pc.Output, Q = \Core.pc_old). Adding SRST signal on $flatten\Core.$procdff$4201 ($dff) from module processorci_top (D = \Core.register_data_2_out, Q = \Core.register_data_2, rval = 0). Adding SRST signal on $flatten\Core.$procdff$4200 ($dff) from module processorci_top (D = \Core.register_data_1_out, Q = \Core.register_data_1, rval = 0). Adding SRST signal on $flatten\Core.$procdff$4199 ($dff) from module processorci_top (D = \Core.Alu.ALU_out_S, Q = \Core.alu_out_register, rval = 0). Adding SRST signal on $flatten\Core.$procdff$4198 ($dff) from module processorci_top (D = \Core.read_data, Q = \Core.memory_register, rval = 0). Adding SRST signal on $flatten\Core.$procdff$4197 ($dff) from module processorci_top (D = $flatten\Core.$procmux$2472_Y, Q = \Core.instruction_register, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4613 ($sdff) from module processorci_top (D = \Core.read_data, Q = \Core.instruction_register). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$4141 ($dff) from module processorci_top (D = { $flatten\Controller.\Uart.\i_uart_tx.$procmux$1472_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1466_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1457_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1448_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1439_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1430_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1412_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1421_Y }, Q = \Controller.Uart.i_uart_tx.data_to_send, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4615 ($sdff) from module processorci_top (D = \Controller.Uart.uart_tx_data [7], Q = \Controller.Uart.i_uart_tx.data_to_send [7]). Adding EN signal on $auto$ff.cc:266:slice$4615 ($sdff) from module processorci_top (D = { $flatten\Controller.\Uart.\i_uart_tx.$procmux$1466_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1457_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1448_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1439_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1430_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1412_Y $flatten\Controller.\Uart.\i_uart_tx.$procmux$1421_Y }, Q = \Controller.Uart.i_uart_tx.data_to_send [6:0]). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$4139 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1388_Y, Q = \Controller.Uart.i_uart_tx.bit_counter, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4620 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1388_Y, Q = \Controller.Uart.i_uart_tx.bit_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$4138 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1377_Y, Q = \Controller.Uart.i_uart_tx.cycle_counter, rval = 9'000000000). Adding EN signal on $auto$ff.cc:266:slice$4626 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1102_Y, Q = \Controller.Uart.i_uart_tx.cycle_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$4137 ($dff) from module processorci_top (D = \Controller.Uart.i_uart_tx.n_fsm_state, Q = \Controller.Uart.i_uart_tx.fsm_state, rval = 3'000). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_tx.$procdff$4136 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1366_Y, Q = \Controller.Uart.i_uart_tx.txd_reg, rval = 1'1). Adding EN signal on $auto$ff.cc:266:slice$4631 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_tx.$procmux$1366_Y, Q = \Controller.Uart.i_uart_tx.txd_reg). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$4135 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1355_Y, Q = \Controller.Uart.i_uart_rx.uart_rx_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4637 ($sdff) from module processorci_top (D = \Controller.Uart.i_uart_rx.recieved_data, Q = \Controller.Uart.i_uart_rx.uart_rx_data). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$4133 ($dff) from module processorci_top (D = { $flatten\Controller.\Uart.\i_uart_rx.$procmux$1332_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1323_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1314_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1305_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1296_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1287_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1269_Y $flatten\Controller.\Uart.\i_uart_rx.$procmux$1278_Y }, Q = \Controller.Uart.i_uart_rx.recieved_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4639 ($sdff) from module processorci_top (D = { \Controller.Uart.i_uart_rx.bit_sample \Controller.Uart.i_uart_rx.recieved_data [7:1] }, Q = \Controller.Uart.i_uart_rx.recieved_data). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$4132 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1251_Y, Q = \Controller.Uart.i_uart_rx.bit_counter, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4643 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1142_Y, Q = \Controller.Uart.i_uart_rx.bit_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$4131 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1246_Y, Q = \Controller.Uart.i_uart_rx.bit_sample, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4647 ($sdff) from module processorci_top (D = \Controller.Uart.i_uart_rx.rxd_reg, Q = \Controller.Uart.i_uart_rx.bit_sample). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$4130 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$procmux$1238_Y, Q = \Controller.Uart.i_uart_rx.cycle_counter, rval = 9'000000000). Adding EN signal on $auto$ff.cc:266:slice$4649 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1153_Y, Q = \Controller.Uart.i_uart_rx.cycle_counter). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$4128 ($dff) from module processorci_top (D = \rx, Q = \Controller.Uart.i_uart_rx.rxd_reg_0, rval = 1'1). Adding SRST signal on $flatten\Controller.\Uart.\i_uart_rx.$procdff$4127 ($dff) from module processorci_top (D = \Controller.Uart.i_uart_rx.rxd_reg_0, Q = \Controller.Uart.i_uart_rx.rxd_reg, rval = 1'1). Adding SRST signal on $flatten\Controller.\Uart.\TX_FIFO.$procdff$4126 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$procmux$1215_Y, Q = \Controller.Uart.TX_FIFO.read_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4655 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$962_Y [5:0], Q = \Controller.Uart.TX_FIFO.read_ptr). Adding EN signal on $flatten\Controller.\Uart.\TX_FIFO.$procdff$4125 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$959_DATA, Q = \Controller.Uart.TX_FIFO.read_data). Adding SRST signal on $flatten\Controller.\Uart.\TX_FIFO.$procdff$4121 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$procmux$1210_Y, Q = \Controller.Uart.TX_FIFO.write_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4662 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$978_Y [5:0], Q = \Controller.Uart.TX_FIFO.write_ptr). Adding SRST signal on $flatten\Controller.\Uart.\RX_FIFO.$procdff$4126 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$procmux$1215_Y, Q = \Controller.Uart.RX_FIFO.read_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4664 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$962_Y [5:0], Q = \Controller.Uart.RX_FIFO.read_ptr). Adding EN signal on $flatten\Controller.\Uart.\RX_FIFO.$procdff$4125 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$959_DATA, Q = \Controller.Uart.RX_FIFO.read_data). Adding SRST signal on $flatten\Controller.\Uart.\RX_FIFO.$procdff$4121 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$procmux$1210_Y, Q = \Controller.Uart.RX_FIFO.write_ptr, rval = 6'000000). Adding EN signal on $auto$ff.cc:266:slice$4671 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$978_Y [5:0], Q = \Controller.Uart.RX_FIFO.write_ptr). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4189 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2386_Y, Q = \Controller.Uart.state_read, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4673 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2386_Y, Q = \Controller.Uart.state_read). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4188 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2411_Y, Q = \Controller.Uart.counter_read, rval = 3'000). Adding EN signal on $auto$ff.cc:266:slice$4677 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2411_Y, Q = \Controller.Uart.counter_read). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4187 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2375_Y, Q = \Controller.Uart.rx_fifo_read, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4186 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2364_Y, Q = \Controller.Uart.read_response, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4185 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2426_Y, Q = \Controller.Uart.read_data, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4695 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2424_Y, Q = \Controller.Uart.read_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4184 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2308_Y, Q = \Controller.Uart.state_write, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$4701 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2308_Y, Q = \Controller.Uart.state_write). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4183 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2330_Y, Q = \Controller.Uart.counter_write, rval = 3'000). Adding EN signal on $auto$ff.cc:266:slice$4705 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2330_Y, Q = \Controller.Uart.counter_write). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4182 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2344_Y, Q = \Controller.Uart.write_data_buffer, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4715 ($sdff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2344_Y, Q = \Controller.Uart.write_data_buffer). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4181 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2358_Y, Q = \Controller.Uart.tx_fifo_write_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4725 ($sdff) from module processorci_top (D = \Controller.Uart.write_data_buffer [31:24], Q = \Controller.Uart.tx_fifo_write_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4180 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2290_Y, Q = \Controller.Uart.tx_fifo_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4179 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2300_Y, Q = \Controller.Uart.write_response, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4178 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2281_Y, Q = \Controller.Uart.rx_fifo_write_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4739 ($sdff) from module processorci_top (D = \Controller.Uart.i_uart_rx.uart_rx_data, Q = \Controller.Uart.rx_fifo_write_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4177 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2276_Y, Q = \Controller.Uart.rx_fifo_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4175 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2271_Y, Q = \Controller.Uart.uart_tx_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4742 ($sdff) from module processorci_top (D = \Controller.Uart.TX_FIFO.read_data, Q = \Controller.Uart.uart_tx_data). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4174 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2247_Y, Q = \Controller.Uart.tx_fifo_read, rval = 1'0). Adding SRST signal on $flatten\Controller.\Uart.$procdff$4173 ($dff) from module processorci_top (D = $flatten\Controller.\Uart.$procmux$2255_Y, Q = \Controller.Uart.uart_tx_en, rval = 1'0). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4172 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1794_Y, Q = \Controller.Interpreter.temp_buffer). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4171 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1837_Y, Q = \Controller.Interpreter.accumulator, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$4759 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1837_Y [63:8], Q = \Controller.Interpreter.accumulator [63:8]). Adding EN signal on $auto$ff.cc:266:slice$4759 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1837_Y [7:0], Q = \Controller.Interpreter.accumulator [7:0]). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4170 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1847_Y, Q = \Controller.Interpreter.timeout_counter, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4774 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1847_Y, Q = \Controller.Interpreter.timeout_counter). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4169 ($dff) from module processorci_top (D = { 8'00000000 \Controller.Interpreter.communication_buffer [31:8] }, Q = \Controller.Interpreter.timeout). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4168 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1888_Y, Q = \Controller.Interpreter.read_buffer). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4167 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1916_Y, Q = \Controller.Interpreter.communication_buffer, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4790 ($sdff) from module processorci_top (D = \Controller.Uart.read_data, Q = \Controller.Interpreter.communication_buffer). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4166 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1941_Y, Q = \Controller.Interpreter.num_of_positions). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4165 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1963_Y, Q = \Controller.Interpreter.num_of_pages, rval = 24'000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$4801 ($sdff) from module processorci_top (D = \Controller.Interpreter.communication_buffer [31:8], Q = \Controller.Interpreter.num_of_pages). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4164 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1969_Y, Q = \Controller.Interpreter.return_state, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4803 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1969_Y, Q = \Controller.Interpreter.return_state). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4163 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1993_Y, Q = \Controller.Interpreter.memory_page_number). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4162 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2001_Y, Q = \Controller.Interpreter.memory_mux_selector, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4818 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2001_Y, Q = \Controller.Interpreter.memory_mux_selector). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4161 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2041_Y, Q = \Controller.Interpreter.end_position, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4822 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2041_Y, Q = \Controller.Interpreter.end_position). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4159 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2083_Y, Q = \Controller.Interpreter.bus_mode, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4826 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2083_Y, Q = \Controller.Interpreter.bus_mode). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4158 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1622_Y, Q = \Controller.Interpreter.reset_bus, rval = 1'1). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4157 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2094_Y, Q = \Controller.Interpreter.num_of_cycles_to_pulse). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4156 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1727_Y, Q = \Controller.Interpreter.core_reset, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4155 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2104_Y, Q = \Controller.Interpreter.core_clk_enable, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4839 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2104_Y, Q = \Controller.Interpreter.core_clk_enable). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4154 ($dff) from module processorci_top (D = \Controller.Interpreter.read_buffer, Q = \Controller.Interpreter.communication_write_data). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4153 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1746_Y, Q = \Controller.Interpreter.communication_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4152 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1769_Y, Q = \Controller.Interpreter.communication_read, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4151 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1679_Y, Q = \Controller.Interpreter.write_pulse, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4150 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2180_Y, Q = \Controller.Interpreter.counter, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$4855 ($sdff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2180_Y, Q = \Controller.Interpreter.counter). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4149 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1522_Y, Q = \Controller.Interpreter.state, rval = 8'00000000). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4148 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2204_Y, Q = \Controller.Interpreter.write_data). Adding EN signal on $flatten\Controller.\Interpreter.$procdff$4147 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$2230_Y, Q = \Controller.Interpreter.address). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4146 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1646_Y, Q = \Controller.Interpreter.memory_write, rval = 1'0). Adding SRST signal on $flatten\Controller.\Interpreter.$procdff$4145 ($dff) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1668_Y, Q = \Controller.Interpreter.memory_read, rval = 1'0). Adding SRST signal on $flatten\Controller.\ClkDivider.$procdff$4142 ($dff) from module processorci_top (D = $flatten\Controller.\ClkDivider.$procmux$1496_Y, Q = \Controller.ClkDivider.pulse_counter, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$4878 ($sdff) from module processorci_top (D = $flatten\Controller.\ClkDivider.$procmux$1496_Y, Q = \Controller.ClkDivider.pulse_counter). Adding SRST signal on $flatten\Controller.$procdff$4196 ($dff) from module processorci_top (D = $flatten\Controller.$procmux$2447_Y, Q = \Controller.finish_execution, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$4886 ($sdff) from module processorci_top (D = $flatten\Controller.$procmux$2447_Y, Q = \Controller.finish_execution). Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$4778 ($dffe) from module processorci_top. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$4590 ($sdffe) from module processorci_top. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$4590 ($sdffe) from module processorci_top. Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 4 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 5 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 6 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 8 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 9 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 10 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 11 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 12 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 13 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 14 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 15 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 16 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 17 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 18 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 19 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 20 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 21 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 22 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 23 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 32 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 33 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 34 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 35 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 36 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 37 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 38 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 39 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 40 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 41 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 42 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 43 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 44 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 45 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 46 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 47 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 48 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 49 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 50 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 51 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 52 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 53 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 54 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 55 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 56 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 57 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 58 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 59 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 60 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 61 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 62 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. Setting constant 0-bit at position 63 on $auto$ff.cc:266:slice$4571 ($dffe) from module processorci_top. 21.13.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 180 unused cells and 185 unused wires. 21.13.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.13.9. Rerunning OPT passes. (Maybe there is more to do..) 21.13.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.13.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New input vector for $reduce_or cell $auto$opt_dff.cc:254:combine_resets$4645: { \ResetBootSystem.reset_o \Controller.Uart.i_uart_rx.fsm_state [3:2] \Controller.Uart.i_uart_rx.fsm_state [0] } New ctrl vector for $pmux cell $flatten\Core.\CSR_Unit.$procmux$2759: { $flatten\Core.\CSR_Unit.$procmux$2771_CMP $flatten\Core.\CSR_Unit.$procmux$2770_CMP $flatten\Core.\CSR_Unit.$procmux$2769_CMP $flatten\Core.\CSR_Unit.$procmux$2714_CMP $flatten\Core.\CSR_Unit.$procmux$2741_CMP $flatten\Core.\CSR_Unit.$procmux$2752_CMP $flatten\Core.\CSR_Unit.$procmux$2731_CMP $flatten\Core.\CSR_Unit.$procmux$2722_CMP $auto$opt_reduce.cc:137:opt_pmux$4274 $auto$opt_reduce.cc:137:opt_pmux$4272 $auto$opt_reduce.cc:137:opt_pmux$4270 $auto$opt_reduce.cc:137:opt_pmux$4268 } Optimizing cells in module \processorci_top. Performed a total of 2 changes. 21.13.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 34 cells. 21.13.13. Executing OPT_DFF pass (perform DFF optimizations). 21.13.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 3 unused cells and 37 unused wires. 21.13.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.13.16. Rerunning OPT passes. (Maybe there is more to do..) 21.13.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.13.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.13.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.13.20. Executing OPT_DFF pass (perform DFF optimizations). 21.13.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.13.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.13.23. Finished OPT passes. (There is nothing left to do.) 21.14. Executing WREDUCE pass (reducing word size of cells). Removed top 22 address bits (of 32) from memory init port processorci_top.$flatten\Controller.\Data_Memory.$auto$proc_memwr.cc:45:proc_memwr$4237 (Controller.Data_Memory.memory). Removed top 22 address bits (of 32) from memory read port processorci_top.$flatten\Controller.\Data_Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$769 (Controller.Data_Memory.memory). Removed top 22 address bits (of 32) from memory init port processorci_top.$flatten\Controller.\Memory.$auto$proc_memwr.cc:45:proc_memwr$4237 (Controller.Memory.memory). Removed top 22 address bits (of 32) from memory read port processorci_top.$flatten\Controller.\Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$769 (Controller.Memory.memory). Removed top 3 address bits (of 6) from memory init port processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$auto$proc_memwr.cc:45:proc_memwr$4236 (Controller.Uart.RX_FIFO.memory). Removed top 3 address bits (of 6) from memory read port processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$959 (Controller.Uart.RX_FIFO.memory). Removed top 3 address bits (of 6) from memory init port processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$auto$proc_memwr.cc:45:proc_memwr$4236 (Controller.Uart.TX_FIFO.memory). Removed top 3 address bits (of 6) from memory read port processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$memrd$\memory$/eda/processor-ci-controller/src/fifo.v:30$959 (Controller.Uart.TX_FIFO.memory). Removed top 27 address bits (of 32) from memory init port processorci_top.$flatten\Core.\RegisterBank.$auto$proc_memwr.cc:45:proc_memwr$4238 (Core.RegisterBank.registers). Removed top 27 address bits (of 32) from memory init port processorci_top.$flatten\Core.\RegisterBank.$auto$proc_memwr.cc:45:proc_memwr$4240 (Core.RegisterBank.registers). Removed top 27 address bits (of 32) from memory init port processorci_top.$flatten\Core.\RegisterBank.$meminit$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:22$183 (Core.RegisterBank.registers). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4452 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4477 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4490 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4427 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\ClkDivider.$gt$/eda/processor-ci-controller/src/clk_divider.v:62$1060 ($gt). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:219$1017 ($eq). Removed top 6 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1021 ($add). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1024 ($add). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\Controller.\Interpreter.$lt$/eda/processor-ci-controller/src/interpreter.v:455$1033 ($lt). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:494$1038 ($eq). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1040 ($ge). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1523_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1524_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1526 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1528_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1529_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1530_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1531_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1532_CMP0 ($eq). Removed top 5 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1534 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1536_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1537_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1539 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1541_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1542_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1546_CMP0 ($eq). Removed top 6 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1547_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1548_CMP0 ($eq). Removed top 4 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1550 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1552_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1553_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1554_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1556 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1558_CMP0 ($eq). Removed top 4 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1560 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1562_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1563_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1564_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1565_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1566_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1567_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1568_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1570 ($mux). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1572_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1574 ($mux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1576_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1577_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1579 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1581_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1582_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1585_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1584 ($pmux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1586_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1587_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1588_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1589_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1590_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1591_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1592_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1593_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1594_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1595_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1596_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1597_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1598_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1599_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1600_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1601_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1602_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1603_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1604_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1605_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1606_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1607_CMP0 ($eq). Removed top 6 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1608_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1610 ($mux). Removed top 7 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1612_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1614 ($mux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1648_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1649_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1650_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1683_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1838_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1839_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1840_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$1883_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2009_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2042_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2043_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2116_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\Controller.\Interpreter.$procmux$2117_CMP0 ($eq). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.v:95$991 ($lt). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.v:159$996 ($lt). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2295_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2301_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2302_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2314_CMP0 ($eq). Removed top 1 bits (of 4) from mux cell processorci_top.$flatten\Controller.\Uart.$procmux$2316 ($mux). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2365_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2366_CMP0 ($eq). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2380_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.$procmux$2388_CMP0 ($eq). Removed top 3 bits (of 4) from mux cell processorci_top.$flatten\Controller.\Uart.$procmux$2396 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$procmux$1207 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$procmux$1195 ($mux). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979 ($sub). Removed top 25 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979 ($sub). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$978 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:42$976 ($eq). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$962 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:31$960 ($eq). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$procmux$1207 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$procmux$1195 ($mux). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979 ($sub). Removed top 25 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979 ($sub). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$978 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:42$976 ($eq). Removed top 26 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:31$962 ($mux). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961 ($add). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$eq$/eda/processor-ci-controller/src/fifo.v:31$960 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$4438 ($eq). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1131 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:119$1130 ($mux). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:118$1129 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:117$1128 ($mux). Removed top 2 bits (of 9) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:110$1123 ($eq). Removed top 1 bits (of 9) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:108$1121 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:152$1097 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:137$1089 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:135$1087 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:133$1084 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:133$1083 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:120$1079 ($eq). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1074 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:102$1073 ($mux). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:101$1072 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:100$1071 ($mux). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:94$1067 ($eq). Removed top 1 bits (of 9) from port B of cell processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:92$1065 ($eq). Removed top 22 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Memory.$procmux$2438 ($mux). Removed top 22 bits (of 32) from mux cell processorci_top.$flatten\Controller.\Data_Memory.$procmux$2438 ($mux). Removed top 20 bits (of 32) from mux cell processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:96$746 ($mux). Removed top 20 bits (of 32) from mux cell processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$730 ($mux). Removed top 20 bits (of 32) from mux cell processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$729 ($mux). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4881 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$4891 ($ne). Removed top 32 bits (of 64) from mux cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2700 ($mux). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2714_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2722_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2731_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2741_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2752_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2769_CMP0 ($eq). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Core.\Alu.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:37$9 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Core.\Alu.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:53$20 ($mux). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Core.\Alu.$procmux$4102_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Core.\Alu.$procmux$4109_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Core.\Alu.$procmux$4110_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Core.\Alu.$procmux$4111_CMP0 ($eq). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Core.\Alu.$procmux$4112_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Core.\ALU_Control.$procmux$4097_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\ALU_Control.$procmux$4081_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\ALU_Control.$procmux$4082_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Core.\ALU_Control.$procmux$4083_CMP0 ($eq). Removed top 1 bits (of 4) from mux cell processorci_top.$flatten\Core.\ALU_Control.$procmux$4085 ($mux). Removed top 1 bits (of 3) from mux cell processorci_top.$flatten\Core.\Control_Unit.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:114$30 ($mux). Removed top 5 bits (of 7) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$eq$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:197$34 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$eq$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:282$47 ($eq). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Core.\Control_Unit.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:566$68 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Core.\Control_Unit.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:586$74 ($mux). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$2821_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$2822_CMP0 ($eq). Removed top 1 bits (of 3) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$2824 ($pmux). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3003_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3004_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3005_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3006_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3007_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3008_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3009_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3045_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3053_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3054_CMP0 ($eq). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3058_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3059_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3060_CMP0 ($eq). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3061_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3066_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3067_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3069_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3070_CMP0 ($eq). Removed top 2 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3071_CMP0 ($eq). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3073_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3086_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3087_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3092_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3094_CMP0 ($eq). Removed top 4 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3096_CMP0 ($eq). Removed top 5 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3097_CMP0 ($eq). Removed top 3 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3177_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3201_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3202_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3203_CMP0 ($eq). Removed top 4 bits (of 6) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3204_CMP0 ($eq). Removed top 5 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3303 ($mux). Removed top 5 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3328 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3388 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3440 ($mux). Removed top 5 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3470 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3503 ($mux). Removed top 1 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3539 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3577 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3626 ($mux). Removed top 3 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3677 ($mux). Removed top 1 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3729 ($mux). Removed top 1 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3785 ($mux). Removed top 1 bits (of 6) from mux cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3839 ($mux). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3903_CMP0 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3958_CMP0 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3959_CMP0 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3962_CMP0 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Core.\Control_Unit.$procmux$3964_CMP0 ($eq). Converting cell processorci_top.$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114 ($mul) from unsigned to signed. Removed top 32 bits (of 64) from port A of cell processorci_top.$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114 ($mul). Removed top 32 bits (of 64) from port B of cell processorci_top.$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114 ($mul). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Core.\Mdu.$eq$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:109$138 ($eq). Removed top 32 bits (of 64) from port Y of cell processorci_top.$flatten\Core.\Mdu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:116$140 ($sub). Removed top 32 bits (of 64) from port B of cell processorci_top.$flatten\Core.\Mdu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:116$140 ($sub). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Core.\Mdu.$procmux$2536_CMP0 ($eq). Removed top 1 bits (of 2) from mux cell processorci_top.$flatten\Core.\Mdu.$procmux$2538 ($mux). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Core.\Mdu.$procmux$2621_CMP0 ($eq). Removed top 1 bits (of 2) from mux cell processorci_top.$flatten\Core.\Mdu.$procmux$2623 ($mux). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\AluInputBMUX.$procmux$2526_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\AluInputBMUX.$procmux$2527_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Core.\AluInputBMUX.$procmux$2528_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\AluInputAMUX.$procmux$2526_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\AluInputAMUX.$procmux$2527_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Core.\AluInputAMUX.$procmux$2528_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\MemoryDataMUX.$procmux$2526_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Core.\MemoryDataMUX.$procmux$2527_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Core.\MemoryDataMUX.$procmux$2528_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Core.\MemoryAddressMUX.$procmux$2528_CMP0 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Core.\CSR_Unit.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:116$101 ($add). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\ResetBootSystem.$procmux$2790_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/src/reset.v:43$698 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$697 ($add). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$697 ($add). Removed top 27 bits (of 32) from port B of cell processorci_top.$flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$696 ($lt). Removed cell processorci_top.$flatten\Core.\CSR_Unit.$procmux$2697 ($mux). Removed top 20 bits (of 32) from wire processorci_top.$flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$729_Y. Removed top 22 bits (of 32) from wire processorci_top.$flatten\Controller.\Data_Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_ADDR[31:0]$773. Removed top 7 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1526_Y. Removed top 5 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1534_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1539_Y. Removed top 4 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1550_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1556_Y. Removed top 4 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1560_Y. Removed top 7 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1570_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1574_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1579_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1584_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1610_Y. Removed top 7 bits (of 8) from wire processorci_top.$flatten\Controller.\Interpreter.$procmux$1614_Y. Removed top 22 bits (of 32) from wire processorci_top.$flatten\Controller.\Memory.$0$memwr$\memory$/eda/processor-ci-controller/src/memory.v:41$767_ADDR[31:0]$773. Removed top 1 bits (of 4) from wire processorci_top.$flatten\Controller.\Uart.$procmux$2316_Y. Removed top 3 bits (of 4) from wire processorci_top.$flatten\Controller.\Uart.$procmux$2396_Y. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_ADDR[5:0]$964. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_ADDR[5:0]$973. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977_Y. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$0$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_ADDR[5:0]$964. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_ADDR[5:0]$973. Removed top 1 bits (of 8) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$2$memwr$\memory$/eda/processor-ci-controller/src/fifo.v:41$954_DATA[7:0]$974. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\TX_FIFO.$ternary$/eda/processor-ci-controller/src/fifo.v:42$978_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:117$1128_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:118$1129_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:119$1130_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1131_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:100$1071_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:101$1072_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:102$1073_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1074_Y. Removed top 1 bits (of 4) from wire processorci_top.$flatten\Core.\ALU_Control.$procmux$4085_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Core.\Alu.$eq$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:45$15_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Core.\Alu.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:37$9_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Core.\Alu.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:53$20_Y. Removed top 32 bits (of 64) from wire processorci_top.$flatten\Core.\CSR_Unit.$procmux$2700_Y. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$10\nextstate[5:0]. Removed top 1 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$11\nextstate[5:0]. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$12\nextstate[5:0]. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$14\nextstate[5:0]. Removed top 3 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$16\nextstate[5:0]. Removed top 5 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$19\nextstate[5:0]. Removed top 5 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$21\nextstate[5:0]. Removed top 1 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$5\nextstate[5:0]. Removed top 1 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$6\nextstate[5:0]. Removed top 1 bits (of 6) from wire processorci_top.$flatten\Core.\Control_Unit.$7\nextstate[5:0]. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Core.\Control_Unit.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:586$74_Y. Removed top 1 bits (of 2) from wire processorci_top.$flatten\Core.\Mdu.$procmux$2538_Y. Removed top 1 bits (of 2) from wire processorci_top.$flatten\Core.\Mdu.$procmux$2623_Y. Removed top 32 bits (of 64) from wire processorci_top.$flatten\Core.\Mdu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:116$140_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$697_Y. 21.15. Executing PEEPOPT pass (run peephole optimizers). 21.16. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 56 unused wires. 21.17. Executing SHARE pass (SAT-based resource sharing). Found 6 cells in module processorci_top that may be considered for resource sharing. Analyzing resource sharing options for $flatten\Core.\RegisterBank.$memrd$\registers$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:28$165 ($memrd): Found 12 activation_patterns using ctrl signal { $auto$opt_reduce.cc:137:opt_pmux$4398 $flatten\Core.\AluInputBMUX.$procmux$2526_CMP $flatten\Core.\Alu.$procmux$4113_CMP $flatten\Core.\Alu.$procmux$4112_CMP $flatten\Core.\Alu.$procmux$4111_CMP $flatten\Core.\Alu.$procmux$4110_CMP $flatten\Core.\Alu.$procmux$4107_CMP $flatten\Core.\Alu.$procmux$4106_CMP $flatten\Core.\Alu.$procmux$4105_CMP $flatten\Core.\Alu.$procmux$4104_CMP $flatten\Core.\Alu.$procmux$4103_CMP $flatten\Core.\Alu.$procmux$4102_CMP $auto$opt_reduce.cc:137:opt_pmux$4400 }. No candidates found. Analyzing resource sharing options for $flatten\Core.\Alu.$sshr$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:51$18 ($sshr): Found 1 activation_patterns using ctrl signal $flatten\Core.\Alu.$procmux$4102_CMP. No candidates found. Analyzing resource sharing options for $flatten\Core.\Alu.$shr$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:49$17 ($shr): Found 1 activation_patterns using ctrl signal $flatten\Core.\Alu.$procmux$4103_CMP. No candidates found. Analyzing resource sharing options for $flatten\Core.\Alu.$shl$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:47$16 ($shl): Found 1 activation_patterns using ctrl signal $flatten\Core.\Alu.$procmux$4104_CMP. No candidates found. Analyzing resource sharing options for $flatten\Controller.\Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$769 ($memrd): Found 2 activation_patterns using ctrl signal { \Controller.Memory.memory_read $flatten\Controller.\Interpreter.$procmux$1566_CMP \Controller.Interpreter.address [31] \Controller.Interpreter.memory_mux_selector }. No candidates found. Analyzing resource sharing options for $flatten\Controller.\Data_Memory.$memrd$\memory$/eda/processor-ci-controller/src/memory.v:21$769 ($memrd): Found 1 activation_patterns using ctrl signal { \Controller.Data_Memory.memory_read $flatten\Controller.\Interpreter.$procmux$1566_CMP \Controller.Interpreter.address [31] \Controller.Interpreter.memory_mux_selector }. No candidates found. 21.18. Executing TECHMAP pass (map to technology primitives). 21.18.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/cmp2lut.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/cmp2lut.v' to AST representation. Generating RTLIL representation for module `\_90_lut_cmp_'. Successfully finished Verilog frontend. 21.18.2. Continuing TECHMAP pass. Using template $paramod$141b0f41d8ab4b936f42c52ead39f1f9a7c93200\_90_lut_cmp_ for cells of type $lt. No more expansions possible. 21.19. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.20. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 6 unused wires. 21.21. Executing TECHMAP pass (map to technology primitives). 21.21.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v' to AST representation. Generating RTLIL representation for module `\_80_mul'. Generating RTLIL representation for module `\_90_soft_mul'. Successfully finished Verilog frontend. 21.21.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/dsp_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/dsp_map.v' to AST representation. Generating RTLIL representation for module `\$__MUL18X18'. Successfully finished Verilog frontend. 21.21.3. Continuing TECHMAP pass. Using template $paramod$e88c2150f27e199b5b4c38f191932e407250eaa3\_80_mul for cells of type $mul. Using template $paramod$fac210dc6e441ade6153a47dcf32d681f9d41bee\_80_mul for cells of type $__mul. Using template $paramod$de927ffa49f2a1327665483e9418148a52f3d36b\_80_mul for cells of type $__mul. Using template $paramod$f84b7e774a64cf6bd61391522b3eee9d216e6e7e\_80_mul for cells of type $__mul. Using template $paramod$0c59eac522c8fc6cf582c390b8c4bd5bae1bb887\_80_mul for cells of type $__mul. Using template $paramod$84e4af21b083f56ce59bb3210f4da5751fbe9bb3\_80_mul for cells of type $__mul. Using template $paramod$ba1b36458f074a6329f9cad9c8b71be8774bccea\_80_mul for cells of type $__mul. Using template $paramod$7c1afd677c664a6f211892c24ab4c74153b5be67\$__MUL18X18 for cells of type $__MUL18X18. Using template $paramod$e5ade21dea2c4d51df0cdca72b2a93a08fd8e7d1\$__MUL18X18 for cells of type $__MUL18X18. Using template $paramod$bef2a6330e4e8c17c10f220fb2d17af741212f04\$__MUL18X18 for cells of type $__MUL18X18. Using template $paramod$ab0a030b3329c9db46a487d220064a2a8467942a\$__MUL18X18 for cells of type $__MUL18X18. No more expansions possible. 21.22. Executing ALUMACC pass (create $alu and $macc cells). Extracting $alu and $macc cells in module processorci_top: creating $macc model for $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:230$4963 ($add). creating $macc model for $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:230$4960 ($add). creating $macc model for $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:173$4957 ($add). creating $macc model for $flatten\Controller.\ClkDivider.$sub$/eda/processor-ci-controller/src/clk_divider.v:63$1061 ($sub). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:218$1016 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:280$1020 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1021 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1024 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:454$1031 ($add). creating $macc model for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1035 ($add). creating $macc model for $flatten\Controller.\Interpreter.$sub$/eda/processor-ci-controller/src/interpreter.v:361$1023 ($sub). creating $macc model for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:163$998 ($add). creating $macc model for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:98$993 ($add). creating $macc model for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961 ($add). creating $macc model for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977 ($add). creating $macc model for $flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979 ($sub). creating $macc model for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961 ($add). creating $macc model for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977 ($add). creating $macc model for $flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979 ($sub). creating $macc model for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1142 ($add). creating $macc model for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1153 ($add). creating $macc model for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1091 ($add). creating $macc model for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1102 ($add). creating $macc model for $flatten\Core.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:142$708 ($add). creating $macc model for $flatten\Core.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:140$707 ($sub). creating $macc model for $flatten\Core.\Alu.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:33$6 ($add). creating $macc model for $flatten\Core.\Alu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:35$7 ($sub). creating $macc model for $flatten\Core.\CSR_Unit.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:116$101 ($add). creating $macc model for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:127$146 ($neg). creating $macc model for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:129$148 ($neg). creating $macc model for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:97$122 ($neg). creating $macc model for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:98$126 ($neg). creating $macc model for $flatten\Core.\Mdu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:116$140 ($sub). creating $macc model for $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$697 ($add). creating $alu model for $macc $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$697. creating $alu model for $macc $flatten\Core.\Mdu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:116$140. creating $alu model for $macc $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:98$126. creating $alu model for $macc $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:97$122. creating $alu model for $macc $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:129$148. creating $alu model for $macc $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:127$146. creating $alu model for $macc $flatten\Core.\CSR_Unit.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:116$101. creating $alu model for $macc $flatten\Core.\Alu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:35$7. creating $alu model for $macc $flatten\Core.\Alu.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:33$6. creating $alu model for $macc $flatten\Core.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:140$707. creating $alu model for $macc $flatten\Core.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:142$708. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1102. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1091. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1153. creating $alu model for $macc $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1142. creating $alu model for $macc $flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979. creating $alu model for $macc $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977. creating $alu model for $macc $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961. creating $alu model for $macc $flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979. creating $alu model for $macc $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977. creating $alu model for $macc $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961. creating $alu model for $macc $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:98$993. creating $alu model for $macc $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:163$998. creating $alu model for $macc $flatten\Controller.\Interpreter.$sub$/eda/processor-ci-controller/src/interpreter.v:361$1023. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1035. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:454$1031. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1024. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1021. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:280$1020. creating $alu model for $macc $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:218$1016. creating $alu model for $macc $flatten\Controller.\ClkDivider.$sub$/eda/processor-ci-controller/src/clk_divider.v:63$1061. creating $alu model for $macc $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:173$4957. creating $alu model for $macc $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:230$4960. creating $alu model for $macc $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:230$4963. creating $alu model for $flatten\Controller.\ClkDivider.$gt$/eda/processor-ci-controller/src/clk_divider.v:62$1060 ($gt): new $alu creating $alu model for $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1040 ($ge): new $alu creating $alu model for $flatten\Controller.\Interpreter.$lt$/eda/processor-ci-controller/src/interpreter.v:455$1033 ($lt): merged with $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1040. creating $alu model for $flatten\Core.\Alu.$ge$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:53$19 ($ge): merged with $flatten\Core.\Alu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:35$7. creating $alu model for $flatten\Core.\Alu.$lt$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:37$8 ($lt): merged with $flatten\Core.\Alu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:35$7. creating $alu model for $flatten\Core.\Mdu.$le$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:115$139 ($le): new $alu creating $alu model for $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$696 ($lt): new $alu creating $alu model for $flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:494$1038 ($eq): merged with $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1040. creating $alu model for $flatten\Core.\Alu.$eq$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:45$15 ($eq): merged with $flatten\Core.\Alu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:35$7. creating $alu model for $flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/src/reset.v:43$698 ($eq): merged with $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$696. creating $alu cell for $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/src/reset.v:41$696, $flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/src/reset.v:43$698: $auto$alumacc.cc:495:replace_alu$4976 creating $alu cell for $flatten\Core.\Mdu.$le$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:115$139: $auto$alumacc.cc:495:replace_alu$4983 creating $alu cell for $flatten\Controller.\Interpreter.$ge$/eda/processor-ci-controller/src/interpreter.v:511$1040, $flatten\Controller.\Interpreter.$lt$/eda/processor-ci-controller/src/interpreter.v:455$1033, $flatten\Controller.\Interpreter.$eq$/eda/processor-ci-controller/src/interpreter.v:494$1038: $auto$alumacc.cc:495:replace_alu$4992 creating $alu cell for $flatten\Controller.\ClkDivider.$gt$/eda/processor-ci-controller/src/clk_divider.v:62$1060: $auto$alumacc.cc:495:replace_alu$5005 creating $alu cell for $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:230$4963: $auto$alumacc.cc:495:replace_alu$5016 creating $alu cell for $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:230$4960: $auto$alumacc.cc:495:replace_alu$5019 creating $alu cell for $techmap$flatten\Core.\Mdu.$mul$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:74$114.$add$/eda/oss-cad-suite/lib/../share/yosys/mul2dsp.v:173$4957: $auto$alumacc.cc:495:replace_alu$5022 creating $alu cell for $flatten\Controller.\ClkDivider.$sub$/eda/processor-ci-controller/src/clk_divider.v:63$1061: $auto$alumacc.cc:495:replace_alu$5025 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:218$1016: $auto$alumacc.cc:495:replace_alu$5028 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:280$1020: $auto$alumacc.cc:495:replace_alu$5031 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:302$1021: $auto$alumacc.cc:495:replace_alu$5034 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:364$1024: $auto$alumacc.cc:495:replace_alu$5037 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:454$1031: $auto$alumacc.cc:495:replace_alu$5040 creating $alu cell for $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1035: $auto$alumacc.cc:495:replace_alu$5043 creating $alu cell for $flatten\Controller.\Interpreter.$sub$/eda/processor-ci-controller/src/interpreter.v:361$1023: $auto$alumacc.cc:495:replace_alu$5046 creating $alu cell for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:163$998: $auto$alumacc.cc:495:replace_alu$5049 creating $alu cell for $flatten\Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.v:98$993: $auto$alumacc.cc:495:replace_alu$5052 creating $alu cell for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961: $auto$alumacc.cc:495:replace_alu$5055 creating $alu cell for $flatten\Controller.\Uart.\RX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977: $auto$alumacc.cc:495:replace_alu$5058 creating $alu cell for $flatten\Controller.\Uart.\RX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979: $auto$alumacc.cc:495:replace_alu$5061 creating $alu cell for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:31$961: $auto$alumacc.cc:495:replace_alu$5064 creating $alu cell for $flatten\Controller.\Uart.\TX_FIFO.$add$/eda/processor-ci-controller/src/fifo.v:42$977: $auto$alumacc.cc:495:replace_alu$5067 creating $alu cell for $flatten\Controller.\Uart.\TX_FIFO.$sub$/eda/processor-ci-controller/src/fifo.v:47$979: $auto$alumacc.cc:495:replace_alu$5070 creating $alu cell for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1142: $auto$alumacc.cc:495:replace_alu$5073 creating $alu cell for $flatten\Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1153: $auto$alumacc.cc:495:replace_alu$5076 creating $alu cell for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1091: $auto$alumacc.cc:495:replace_alu$5079 creating $alu cell for $flatten\Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1102: $auto$alumacc.cc:495:replace_alu$5082 creating $alu cell for $flatten\Core.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:142$708: $auto$alumacc.cc:495:replace_alu$5085 creating $alu cell for $flatten\Core.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:140$707: $auto$alumacc.cc:495:replace_alu$5088 creating $alu cell for $flatten\Core.\Alu.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:33$6: $auto$alumacc.cc:495:replace_alu$5091 creating $alu cell for $flatten\Core.\Alu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:35$7, $flatten\Core.\Alu.$ge$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:53$19, $flatten\Core.\Alu.$lt$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:37$8, $flatten\Core.\Alu.$eq$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:45$15: $auto$alumacc.cc:495:replace_alu$5094 creating $alu cell for $flatten\Core.\CSR_Unit.$add$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:116$101: $auto$alumacc.cc:495:replace_alu$5107 creating $alu cell for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:127$146: $auto$alumacc.cc:495:replace_alu$5110 creating $alu cell for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:129$148: $auto$alumacc.cc:495:replace_alu$5113 creating $alu cell for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:97$122: $auto$alumacc.cc:495:replace_alu$5116 creating $alu cell for $flatten\Core.\Mdu.$neg$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:98$126: $auto$alumacc.cc:495:replace_alu$5119 creating $alu cell for $flatten\Core.\Mdu.$sub$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:116$140: $auto$alumacc.cc:495:replace_alu$5122 creating $alu cell for $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/src/reset.v:42$697: $auto$alumacc.cc:495:replace_alu$5125 created 38 $alu and 0 $macc cells. 21.23. Executing OPT pass (performing simple optimizations). 21.23.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.23.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.23.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.23.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.23.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 4 cells. 21.23.6. Executing OPT_DFF pass (perform DFF optimizations). 21.23.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 70 unused wires. 21.23.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.23.9. Rerunning OPT passes. (Maybe there is more to do..) 21.23.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.23.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.23.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.23.13. Executing OPT_DFF pass (perform DFF optimizations). 21.23.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.23.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.23.16. Finished OPT passes. (There is nothing left to do.) 21.24. Executing MEMORY pass. 21.24.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 21.24.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Performed a total of 0 transformations. 21.24.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing processorci_top.Controller.Data_Memory.memory write port 0. Analyzing processorci_top.Controller.Memory.memory write port 0. Analyzing processorci_top.Controller.Uart.RX_FIFO.memory write port 0. Analyzing processorci_top.Controller.Uart.TX_FIFO.memory write port 0. Analyzing processorci_top.Core.RegisterBank.registers write port 0. Analyzing processorci_top.Core.RegisterBank.registers write port 1. Analyzing processorci_top.Core.RegisterBank.registers write port 2. 21.24.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 21.24.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Checking read port `\Controller.Data_Memory.memory'[0] in module `\processorci_top': no output FF found. Checking read port `\Controller.Memory.memory'[0] in module `\processorci_top': no output FF found. Checking read port `\Controller.Uart.RX_FIFO.memory'[0] in module `\processorci_top': merging output FF to cell. Write port 0: non-transparent. Checking read port `\Controller.Uart.TX_FIFO.memory'[0] in module `\processorci_top': merging output FF to cell. Write port 0: non-transparent. Checking read port `\Core.RegisterBank.registers'[0] in module `\processorci_top': no output FF found. Checking read port `\Core.RegisterBank.registers'[1] in module `\processorci_top': merging output FF to cell. Write port 0: don't care on collision. Write port 1: non-transparent. Write port 2: non-transparent. Checking read port `\Core.RegisterBank.registers'[2] in module `\processorci_top': merging output FF to cell. Write port 0: don't care on collision. Write port 1: non-transparent. Write port 2: non-transparent. Checking read port address `\Controller.Data_Memory.memory'[0] in module `\processorci_top': no address FF found. Checking read port address `\Controller.Memory.memory'[0] in module `\processorci_top': no address FF found. Checking read port address `\Core.RegisterBank.registers'[0] in module `\processorci_top': address FF has fully-defined init value, not supported. 21.24.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 4 unused cells and 86 unused wires. 21.24.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Consolidating read ports of memory processorci_top.Core.RegisterBank.registers by address: Consolidating write ports of memory processorci_top.Core.RegisterBank.registers by address: Merging ports 0, 2 (address 5'00000). Consolidating write ports of memory processorci_top.Core.RegisterBank.registers by address: Consolidating write ports of memory processorci_top.Core.RegisterBank.registers using sat-based resource sharing: Checking group clocked with posedge \Core.CSR_Unit.clk, width 32: ports 0, 1. Common input cone for all EN signals: 14 cells. Size of unconstrained SAT problem: 110 variables, 305 clauses Merging port 1 into port 0. 21.24.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 21.24.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.24.10. Executing MEMORY_COLLECT pass (generating $mem cells). 21.25. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.26. Executing MEMORY_LIBMAP pass (mapping memories to cells). mapping memory processorci_top.Controller.Data_Memory.memory via $__TRELLIS_DPR16X4_ mapping memory processorci_top.Controller.Memory.memory via $__TRELLIS_DPR16X4_ mapping memory processorci_top.Controller.Uart.RX_FIFO.memory via $__TRELLIS_DPR16X4_ Extracted data FF from read port 0 of processorci_top.Controller.Uart.RX_FIFO.memory: $\Controller.Uart.RX_FIFO.memory$rdreg[0] mapping memory processorci_top.Controller.Uart.TX_FIFO.memory via $__TRELLIS_DPR16X4_ Extracted data FF from read port 0 of processorci_top.Controller.Uart.TX_FIFO.memory: $\Controller.Uart.TX_FIFO.memory$rdreg[0] mapping memory processorci_top.Core.RegisterBank.registers via $__TRELLIS_DPR16X4_ Extracted data FF from read port 1 of processorci_top.Core.RegisterBank.registers: $\Core.RegisterBank.registers$rdreg[1] Extracted data FF from read port 2 of processorci_top.Core.RegisterBank.registers: $\Core.RegisterBank.registers$rdreg[2] 21.27. Executing TECHMAP pass (map to technology primitives). 21.27.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/lutrams_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/lutrams_map.v' to AST representation. Generating RTLIL representation for module `\$__TRELLIS_DPR16X4_'. Successfully finished Verilog frontend. 21.27.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/brams_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/brams_map.v' to AST representation. Generating RTLIL representation for module `\$__ECP5_DP16KD_'. Generating RTLIL representation for module `\$__ECP5_PDPW16KD_'. Successfully finished Verilog frontend. 21.27.3. Continuing TECHMAP pass. Using template $paramod$04778961cc1285a5efea28f98f28381eb2862208\$__TRELLIS_DPR16X4_ for cells of type $__TRELLIS_DPR16X4_. Using template $paramod$514fc941ac1ae997c717a8e6a1180ed8e0cf8fa9\$__TRELLIS_DPR16X4_ for cells of type $__TRELLIS_DPR16X4_. No more expansions possible. 21.28. Executing OPT pass (performing simple optimizations). 21.28.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.28.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 21.28.3. Executing OPT_DFF pass (perform DFF optimizations). Adding EN signal on $flatten\ResetBootSystem.$procdff$4233 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$0\state[1:0], Q = \ResetBootSystem.state). Adding SRST signal on $auto$ff.cc:266:slice$4811 ($dffe) from module processorci_top (D = $flatten\Controller.\Interpreter.$add$/eda/processor-ci-controller/src/interpreter.v:464$1035_Y, Q = \Controller.Interpreter.memory_page_number, rval = 24'000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$4750 ($dffe) from module processorci_top (D = $flatten\Controller.\Interpreter.$procmux$1794_Y [1:0], Q = \Controller.Interpreter.temp_buffer [1:0]). Adding SRST signal on $auto$ff.cc:266:slice$4533 ($dffe) from module processorci_top (D = \Core.Mdu.quociente_msk [31:1], Q = \Core.Mdu.quociente_msk [30:0], rval = 31'0000000000000000000000000000000). Adding SRST signal on $auto$ff.cc:266:slice$4519 ($dffe) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2555_Y [63], Q = \Core.Mdu.divisor [63], rval = 1'0). Adding SRST signal on $auto$ff.cc:266:slice$4484 ($dffe) from module processorci_top (D = $flatten\ResetBootSystem.$procmux$2787_Y, Q = \ResetBootSystem.counter, rval = 6'000000). 21.28.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 8 unused cells and 7676 unused wires. 21.28.5. Rerunning OPT passes. (Removed registers in this run.) 21.28.6. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.28.7. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.28.8. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $auto$ff.cc:266:slice$7691 ($sdffce) from module processorci_top (D = $auto$wreduce.cc:513:run$4950 [5:0], Q = \ResetBootSystem.counter, rval = 6'000000). Adding SRST signal on $auto$ff.cc:266:slice$7690 ($dffe) from module processorci_top (D = \Core.Mdu.divisor [31:1], Q = \Core.Mdu.divisor [30:0], rval = 31'0000000000000000000000000000000). Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$7689 ($sdffce) from module processorci_top. 21.28.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 7 unused cells and 10 unused wires. 21.28.10. Rerunning OPT passes. (Removed registers in this run.) 21.28.11. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.28.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.28.13. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $auto$ff.cc:266:slice$7698 ($dffe) from module processorci_top (D = $flatten\Core.\Mdu.$procmux$2555_Y [62], Q = \Core.Mdu.divisor [62], rval = 1'0). 21.28.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.28.15. Rerunning OPT passes. (Removed registers in this run.) 21.28.16. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.28.17. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.28.18. Executing OPT_DFF pass (perform DFF optimizations). 21.28.19. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.28.20. Finished fast OPT passes. 21.29. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). 21.30. Executing OPT pass (performing simple optimizations). 21.30.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.30.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.30.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Replacing known input bits on port B of cell $auto$memory_share.cc:453:consolidate_wr_using_sat$5231: $auto$rtlil.cc:2743:ReduceOr$5225 -> 1'1 Analyzing evaluation results. Removed 0 multiplexer ports. 21.30.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$7685: { $auto$opt_dff.cc:194:make_patterns_logic$7682 $auto$opt_dff.cc:194:make_patterns_logic$4753 $auto$opt_dff.cc:194:make_patterns_logic$4751 $auto$fsm_map.cc:74:implement_pattern_cache$4472 } Consolidated identical input bits for $mux cell $flatten\Controller.$ternary$/eda/processor-ci-controller/src/controller.v:84$729: Old ports: A=\Controller.core_address_memory [11:0], B={ \Controller.Interpreter.memory_page_number [5:0] \Controller.core_address_memory [5:0] }, Y=$auto$wreduce.cc:513:run$4896 [11:0] New ports: A=\Controller.core_address_memory [11:6], B=\Controller.Interpreter.memory_page_number [5:0], Y=$auto$wreduce.cc:513:run$4896 [11:6] New connections: $auto$wreduce.cc:513:run$4896 [5:0] = \Controller.core_address_memory [5:0] Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$1534: Old ports: A=3'011, B=3'100, Y=$auto$wreduce.cc:513:run$4899 [2:0] New ports: A=2'01, B=2'10, Y={ $auto$wreduce.cc:513:run$4899 [2] $auto$wreduce.cc:513:run$4899 [0] } New connections: $auto$wreduce.cc:513:run$4899 [1] = $auto$wreduce.cc:513:run$4899 [0] Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$1539: Old ports: A=7'1010010, B=7'0010101, Y=$auto$wreduce.cc:513:run$4900 [6:0] New ports: A=2'10, B=2'01, Y=$auto$wreduce.cc:513:run$4900 [1:0] New connections: $auto$wreduce.cc:513:run$4900 [6:2] = { $auto$wreduce.cc:513:run$4900 [1] 3'010 $auto$wreduce.cc:513:run$4900 [0] } Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$1550: Old ports: A=4'1100, B=4'0000, Y=$auto$wreduce.cc:513:run$4901 [3:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:513:run$4901 [2] New connections: { $auto$wreduce.cc:513:run$4901 [3] $auto$wreduce.cc:513:run$4901 [1:0] } = { $auto$wreduce.cc:513:run$4901 [2] 2'00 } Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$1560: Old ports: A=4'1001, B=4'0000, Y=$auto$wreduce.cc:513:run$4903 [3:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:513:run$4903 [0] New connections: $auto$wreduce.cc:513:run$4903 [3:1] = { $auto$wreduce.cc:513:run$4903 [0] 2'00 } Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$1574: Old ports: A=7'0000110, B=7'1010111, Y=$auto$wreduce.cc:513:run$4905 [6:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:513:run$4905 [0] New connections: $auto$wreduce.cc:513:run$4905 [6:1] = { $auto$wreduce.cc:513:run$4905 [0] 1'0 $auto$wreduce.cc:513:run$4905 [0] 3'011 } Consolidated identical input bits for $pmux cell $flatten\Controller.\Interpreter.$procmux$1969: Old ports: A=8'00001011, B=302978816, Y=$flatten\Controller.\Interpreter.$procmux$1969_Y New ports: A=5'01011, B=20'10010011111011100000, Y=$flatten\Controller.\Interpreter.$procmux$1969_Y [4:0] New connections: $flatten\Controller.\Interpreter.$procmux$1969_Y [7:5] = 3'000 Consolidated identical input bits for $pmux cell $flatten\Controller.\Interpreter.$procmux$2094: Old ports: A={ 8'00000000 \Controller.Interpreter.communication_buffer [31:8] }, B={ 40'0000000000000000000000000001010000000000 \Controller.Interpreter.timeout [23:0] }, Y=$flatten\Controller.\Interpreter.$procmux$2094_Y New ports: A=\Controller.Interpreter.communication_buffer [31:8], B={ 24'000000000000000000010100 \Controller.Interpreter.timeout [23:0] }, Y=$flatten\Controller.\Interpreter.$procmux$2094_Y [23:0] New connections: $flatten\Controller.\Interpreter.$procmux$2094_Y [31:24] = 8'00000000 New ctrl vector for $pmux cell $flatten\Controller.\Interpreter.$procmux$2104: $auto$opt_reduce.cc:137:opt_pmux$4372 Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.$procmux$2308: Old ports: A=4'0000, B={ 1'0 $auto$wreduce.cc:513:run$4911 [2:0] 12'000100100011 }, Y=$flatten\Controller.\Uart.$procmux$2308_Y New ports: A=3'000, B={ $auto$wreduce.cc:513:run$4911 [2:0] 9'001010011 }, Y=$flatten\Controller.\Uart.$procmux$2308_Y [2:0] New connections: $flatten\Controller.\Uart.$procmux$2308_Y [3] = 1'0 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.$procmux$2316: Old ports: A=3'000, B=3'100, Y=$auto$wreduce.cc:513:run$4911 [2:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:513:run$4911 [2] New connections: $auto$wreduce.cc:513:run$4911 [1:0] = 2'00 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.$procmux$2392: Old ports: A=4'0010, B=4'0100, Y=$flatten\Controller.\Uart.$procmux$2392_Y New ports: A=2'01, B=2'10, Y=$flatten\Controller.\Uart.$procmux$2392_Y [2:1] New connections: { $flatten\Controller.\Uart.$procmux$2392_Y [3] $flatten\Controller.\Uart.$procmux$2392_Y [0] } = 2'00 Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.\i_uart_rx.$procmux$1350: Old ports: A=3'000, B={ 2'00 $auto$wreduce.cc:513:run$4923 [0] 1'0 $auto$wreduce.cc:513:run$4924 [1:0] 2'01 \Controller.Uart.i_uart_rx.payload_done 1'0 $auto$wreduce.cc:513:run$4926 [1:0] }, Y=\Controller.Uart.i_uart_rx.n_fsm_state New ports: A=2'00, B={ 1'0 $auto$wreduce.cc:513:run$4923 [0] $auto$wreduce.cc:513:run$4924 [1:0] 1'1 \Controller.Uart.i_uart_rx.payload_done $auto$wreduce.cc:513:run$4926 [1:0] }, Y=\Controller.Uart.i_uart_rx.n_fsm_state [1:0] New connections: \Controller.Uart.i_uart_rx.n_fsm_state [2] = 1'0 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1131: Old ports: A=2'11, B=2'00, Y=$auto$wreduce.cc:513:run$4926 [1:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:513:run$4926 [0] New connections: $auto$wreduce.cc:513:run$4926 [1] = $auto$wreduce.cc:513:run$4926 [0] Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.\i_uart_tx.$procmux$1487: Old ports: A=3'000, B={ 2'00 \Controller.Uart.uart_tx_en 1'0 $auto$wreduce.cc:513:run$4928 [1:0] 2'01 \Controller.Uart.i_uart_tx.payload_done 1'0 $auto$wreduce.cc:513:run$4930 [1:0] }, Y=\Controller.Uart.i_uart_tx.n_fsm_state New ports: A=2'00, B={ 1'0 \Controller.Uart.uart_tx_en $auto$wreduce.cc:513:run$4928 [1:0] 1'1 \Controller.Uart.i_uart_tx.payload_done $auto$wreduce.cc:513:run$4930 [1:0] }, Y=\Controller.Uart.i_uart_tx.n_fsm_state [1:0] New connections: \Controller.Uart.i_uart_tx.n_fsm_state [2] = 1'0 Consolidated identical input bits for $mux cell $flatten\Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1074: Old ports: A=2'11, B=2'00, Y=$auto$wreduce.cc:513:run$4930 [1:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:513:run$4930 [0] New connections: $auto$wreduce.cc:513:run$4930 [1] = $auto$wreduce.cc:513:run$4930 [0] Consolidated identical input bits for $mux cell $flatten\Core.\ALU_Control.$procmux$4077: Old ports: A=4'1001, B=4'0011, Y=$flatten\Core.\ALU_Control.$procmux$4077_Y New ports: A=2'10, B=2'01, Y={ $flatten\Core.\ALU_Control.$procmux$4077_Y [3] $flatten\Core.\ALU_Control.$procmux$4077_Y [1] } New connections: { $flatten\Core.\ALU_Control.$procmux$4077_Y [2] $flatten\Core.\ALU_Control.$procmux$4077_Y [0] } = 2'01 Consolidated identical input bits for $mux cell $flatten\Core.\ALU_Control.$procmux$4085: Old ports: A=3'010, B=3'110, Y=$auto$wreduce.cc:513:run$4931 [2:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:513:run$4931 [2] New connections: $auto$wreduce.cc:513:run$4931 [1:0] = 2'10 Consolidated identical input bits for $pmux cell $flatten\Core.\Control_Unit.$procmux$2995: Old ports: A=4'0000, B=8'10001001, Y=\Core.control_unit_aluop New ports: A=2'00, B=4'1011, Y={ \Core.control_unit_aluop [3] \Core.control_unit_aluop [0] } New connections: \Core.control_unit_aluop [2:1] = 2'00 Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3312: Old ports: A=6'101101, B=6'000000, Y=$flatten\Core.\Control_Unit.$20\nextstate[5:0] New ports: A=1'1, B=1'0, Y=$flatten\Core.\Control_Unit.$20\nextstate[5:0] [0] New connections: $flatten\Core.\Control_Unit.$20\nextstate[5:0] [5:1] = { $flatten\Core.\Control_Unit.$20\nextstate[5:0] [0] 1'0 $flatten\Core.\Control_Unit.$20\nextstate[5:0] [0] $flatten\Core.\Control_Unit.$20\nextstate[5:0] [0] 1'0 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3347: Old ports: A=6'000000, B=6'100101, Y=$flatten\Core.\Control_Unit.$18\nextstate[5:0] New ports: A=1'0, B=1'1, Y=$flatten\Core.\Control_Unit.$18\nextstate[5:0] [0] New connections: $flatten\Core.\Control_Unit.$18\nextstate[5:0] [5:1] = { $flatten\Core.\Control_Unit.$18\nextstate[5:0] [0] 2'00 $flatten\Core.\Control_Unit.$18\nextstate[5:0] [0] 1'0 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3503: Old ports: A=3'011, B=3'100, Y=$auto$wreduce.cc:513:run$4938 [2:0] New ports: A=2'01, B=2'10, Y={ $auto$wreduce.cc:513:run$4938 [2] $auto$wreduce.cc:513:run$4938 [0] } New connections: $auto$wreduce.cc:513:run$4938 [1] = $auto$wreduce.cc:513:run$4938 [0] Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3539: Old ports: A=5'00000, B=5'10010, Y=$auto$wreduce.cc:513:run$4937 [4:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:513:run$4937 [1] New connections: { $auto$wreduce.cc:513:run$4937 [4:2] $auto$wreduce.cc:513:run$4937 [0] } = { $auto$wreduce.cc:513:run$4937 [1] 3'000 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3577: Old ports: A=3'110, B=3'000, Y=$auto$wreduce.cc:513:run$4936 [2:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:513:run$4936 [1] New connections: { $auto$wreduce.cc:513:run$4936 [2] $auto$wreduce.cc:513:run$4936 [0] } = { $auto$wreduce.cc:513:run$4936 [1] 1'0 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3626: Old ports: A=3'101, B=3'000, Y=$flatten\Core.\Control_Unit.$9\nextstate[5:0] [2:0] New ports: A=1'1, B=1'0, Y=$flatten\Core.\Control_Unit.$9\nextstate[5:0] [0] New connections: $flatten\Core.\Control_Unit.$9\nextstate[5:0] [2:1] = { $flatten\Core.\Control_Unit.$9\nextstate[5:0] [0] 1'0 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3729: Old ports: A=5'00101, B=5'11000, Y=$auto$wreduce.cc:513:run$4945 [4:0] New ports: A=2'01, B=2'10, Y={ $auto$wreduce.cc:513:run$4945 [3] $auto$wreduce.cc:513:run$4945 [0] } New connections: { $auto$wreduce.cc:513:run$4945 [4] $auto$wreduce.cc:513:run$4945 [2:1] } = { $auto$wreduce.cc:513:run$4945 [3] $auto$wreduce.cc:513:run$4945 [0] 1'0 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3785: Old ports: A=5'00011, B=5'10110, Y=$auto$wreduce.cc:513:run$4944 [4:0] New ports: A=2'01, B=2'10, Y={ $auto$wreduce.cc:513:run$4944 [2] $auto$wreduce.cc:513:run$4944 [0] } New connections: { $auto$wreduce.cc:513:run$4944 [4:3] $auto$wreduce.cc:513:run$4944 [1] } = { $auto$wreduce.cc:513:run$4944 [2] 2'01 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3900: Old ports: A=6'000110, B=6'101111, Y=$flatten\Core.\Control_Unit.$4\nextstate[5:0] New ports: A=1'0, B=1'1, Y=$flatten\Core.\Control_Unit.$4\nextstate[5:0] [0] New connections: $flatten\Core.\Control_Unit.$4\nextstate[5:0] [5:1] = { $flatten\Core.\Control_Unit.$4\nextstate[5:0] [0] 1'0 $flatten\Core.\Control_Unit.$4\nextstate[5:0] [0] 2'11 } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$4019: Old ports: A=6'000000, B=6'101110, Y=$flatten\Core.\Control_Unit.$2\nextstate[5:0] New ports: A=1'0, B=1'1, Y=$flatten\Core.\Control_Unit.$2\nextstate[5:0] [1] New connections: { $flatten\Core.\Control_Unit.$2\nextstate[5:0] [5:2] $flatten\Core.\Control_Unit.$2\nextstate[5:0] [0] } = { $flatten\Core.\Control_Unit.$2\nextstate[5:0] [1] 1'0 $flatten\Core.\Control_Unit.$2\nextstate[5:0] [1] $flatten\Core.\Control_Unit.$2\nextstate[5:0] [1] 1'0 } New ctrl vector for $pmux cell $flatten\Core.\Control_Unit.$procmux$4025: { $flatten\Core.\Control_Unit.$procmux$3206_CMP \Core.Control_Unit.ir_write $flatten\Core.\Control_Unit.$procmux$3097_CMP $flatten\Core.\Control_Unit.$procmux$3096_CMP $flatten\Core.\Control_Unit.$procmux$3204_CMP $flatten\Core.\Control_Unit.$procmux$3177_CMP $flatten\Core.\Control_Unit.$procmux$3069_CMP $auto$opt_reduce.cc:137:opt_pmux$4368 $flatten\Core.\Control_Unit.$procmux$3203_CMP $flatten\Core.\Control_Unit.$procmux$3009_CMP $flatten\Core.\Control_Unit.$procmux$3060_CMP $flatten\Core.\Control_Unit.$procmux$3094_CMP $flatten\Core.\Control_Unit.$procmux$3202_CMP $flatten\Core.\Control_Unit.$procmux$3008_CMP $flatten\Core.\Control_Unit.$procmux$3092_CMP $flatten\Core.\Control_Unit.$procmux$3201_CMP $flatten\Core.\Control_Unit.$procmux$3007_CMP $flatten\Core.\Control_Unit.$procmux$3005_CMP $flatten\Core.\Control_Unit.$procmux$3004_CMP $auto$opt_reduce.cc:137:opt_pmux$7704 $flatten\Core.\Control_Unit.$procmux$3086_CMP $flatten\Core.\Control_Unit.$procmux$3002_CMP $auto$opt_reduce.cc:137:opt_pmux$7702 $flatten\Core.\Control_Unit.$procmux$3081_CMP $flatten\Core.\Control_Unit.$procmux$2872_CMP $flatten\Core.\Control_Unit.$procmux$3080_CMP $flatten\Core.\Control_Unit.$procmux$3200_CMP $flatten\Core.\Control_Unit.$procmux$2999_CMP $flatten\Core.\Control_Unit.$procmux$2998_CMP $flatten\Core.\Control_Unit.$procmux$3077_CMP $flatten\Core.\Control_Unit.$procmux$2997_CMP $flatten\Core.\Control_Unit.$procmux$2996_CMP $flatten\Core.\Control_Unit.$procmux$3074_CMP $flatten\Core.\Control_Unit.$procmux$2871_CMP \Core.Mdu.start $flatten\Core.\Control_Unit.$procmux$3064_CMP } Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$ternary$/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:114$30: Old ports: A=2'11, B=2'01, Y=\Core.Control_Unit.second_block_write_src_b [1:0] New ports: A=1'1, B=1'0, Y=\Core.Control_Unit.second_block_write_src_b [1] New connections: \Core.Control_Unit.second_block_write_src_b [0] = 1'1 Consolidated identical input bits for $pmux cell $flatten\Core.\Immediate_Generator.$procmux$2680: Old ports: A={ \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31] \Core.instruction_register [31:20] }, B={ \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24:20] 20'00000000000000000000 \Core.instruction_register [31:20] 27'000000000000000000000000000 \Core.instruction_register [24:20] }, Y=$flatten\Core.\Immediate_Generator.$2\immediate[31:0] New ports: A={ \Core.instruction_register [31] \Core.instruction_register [31:25] }, B={ \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] \Core.instruction_register [24] 1'0 \Core.instruction_register [31:25] 8'00000000 }, Y=$flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12:5] New connections: { $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [31:13] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [4:0] } = { $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] $flatten\Core.\Immediate_Generator.$2\immediate[31:0] [12] \Core.instruction_register [24:20] } Consolidated identical input bits for $mux cell $flatten\Core.\Mdu.$procmux$2552: Old ports: A={ 1'0 $flatten\Core.\Mdu.$procmux$2555_Y [62:31] 31'0000000000000000000000000000000 }, B={ 2'00 \Core.Mdu.divisor [62:1] }, Y=$flatten\Core.\Mdu.$procmux$2552_Y New ports: A={ $flatten\Core.\Mdu.$procmux$2555_Y [62:31] 31'0000000000000000000000000000000 }, B={ 1'0 \Core.Mdu.divisor [62:1] }, Y=$flatten\Core.\Mdu.$procmux$2552_Y [62:0] New connections: $flatten\Core.\Mdu.$procmux$2552_Y [63] = 1'0 New ctrl vector for $pmux cell $flatten\ResetBootSystem.$procmux$2798: { $flatten\ResetBootSystem.$procmux$2791_CMP $flatten\ResetBootSystem.$procmux$2790_CMP } Consolidated identical input bits for $mux cell $flatten\ResetBootSystem.$procmux$2801: Old ports: A=2'00, B=2'10, Y=$flatten\ResetBootSystem.$procmux$2801_Y New ports: A=1'0, B=1'1, Y=$flatten\ResetBootSystem.$procmux$2801_Y [1] New connections: $flatten\ResetBootSystem.$procmux$2801_Y [0] = 1'0 New input vector for $reduce_or cell $auto$opt_reduce.cc:131:opt_pmux$7701: { $flatten\Core.\Control_Unit.$procmux$3003_CMP $flatten\Core.\Control_Unit.$procmux$3001_CMP $flatten\Core.\Control_Unit.$procmux$3000_CMP } Optimizing cells in module \processorci_top. Consolidated identical input bits for $pmux cell $flatten\Controller.\Uart.$procmux$2386: Old ports: A=4'0000, B={ 3'000 \Controller.Interpreter.communication_read $flatten\Controller.\Uart.$procmux$2392_Y 8'00010011 }, Y=$flatten\Controller.\Uart.$procmux$2386_Y New ports: A=3'000, B={ 2'00 \Controller.Interpreter.communication_read $flatten\Controller.\Uart.$procmux$2392_Y [2:1] 7'0001011 }, Y=$flatten\Controller.\Uart.$procmux$2386_Y [2:0] New connections: $flatten\Controller.\Uart.$procmux$2386_Y [3] = 1'0 Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3367: Old ports: A=6'100001, B=$flatten\Core.\Control_Unit.$18\nextstate[5:0], Y=$flatten\Core.\Control_Unit.$17\nextstate[5:0] New ports: A=2'01, B={ $flatten\Core.\Control_Unit.$18\nextstate[5:0] [0] $flatten\Core.\Control_Unit.$18\nextstate[5:0] [0] }, Y={ $flatten\Core.\Control_Unit.$17\nextstate[5:0] [2] $flatten\Core.\Control_Unit.$17\nextstate[5:0] [0] } New connections: { $flatten\Core.\Control_Unit.$17\nextstate[5:0] [5:3] $flatten\Core.\Control_Unit.$17\nextstate[5:0] [1] } = { $flatten\Core.\Control_Unit.$17\nextstate[5:0] [0] 3'000 } Consolidated identical input bits for $pmux cell $flatten\Core.\Control_Unit.$procmux$3955: Old ports: A=6'000000, B={ 6'000010 $flatten\Core.\Control_Unit.$4\nextstate[5:0] 42'001000001001001010001100001101001110001111 }, Y=$flatten\Core.\Control_Unit.$3\nextstate[5:0] New ports: A=5'00000, B={ 5'00010 $flatten\Core.\Control_Unit.$4\nextstate[5:0] [0] $flatten\Core.\Control_Unit.$4\nextstate[5:0] [0] 2'11 $flatten\Core.\Control_Unit.$4\nextstate[5:0] [0] 35'01000010010101001100011010111001111 }, Y={ $flatten\Core.\Control_Unit.$3\nextstate[5:0] [5] $flatten\Core.\Control_Unit.$3\nextstate[5:0] [3:0] } New connections: $flatten\Core.\Control_Unit.$3\nextstate[5:0] [4] = 1'0 Consolidated identical input bits for $mux cell $flatten\ResetBootSystem.$procmux$2807: Old ports: A=2'00, B=$flatten\ResetBootSystem.$procmux$2801_Y, Y=$flatten\ResetBootSystem.$procmux$2807_Y New ports: A=1'0, B=$flatten\ResetBootSystem.$procmux$2801_Y [1], Y=$flatten\ResetBootSystem.$procmux$2807_Y [1] New connections: $flatten\ResetBootSystem.$procmux$2807_Y [0] = 1'0 Optimizing cells in module \processorci_top. Performed a total of 44 changes. 21.30.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 4 cells. 21.30.6. Executing OPT_DFF pass (perform DFF optimizations). 21.30.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1 unused cells and 5 unused wires. 21.30.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.30.9. Rerunning OPT passes. (Maybe there is more to do..) 21.30.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.30.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Core.\Control_Unit.$procmux$3839: Old ports: A={ $auto$wreduce.cc:513:run$4944 [4] $auto$wreduce.cc:513:run$4944 [4] $auto$wreduce.cc:513:run$4944 [0] 1'0 $auto$wreduce.cc:513:run$4944 [0] }, B={ $auto$wreduce.cc:513:run$4944 [4] 1'0 $auto$wreduce.cc:513:run$4944 [4] 1'1 $auto$wreduce.cc:513:run$4944 [0] }, Y=$auto$wreduce.cc:513:run$4943 [4:0] New ports: A={ $auto$wreduce.cc:513:run$4944 [4] $auto$wreduce.cc:513:run$4944 [0] 1'0 }, B={ 1'0 $auto$wreduce.cc:513:run$4944 [4] 1'1 }, Y=$auto$wreduce.cc:513:run$4943 [3:1] New connections: { $auto$wreduce.cc:513:run$4943 [4] $auto$wreduce.cc:513:run$4943 [0] } = { $auto$wreduce.cc:513:run$4944 [4] $auto$wreduce.cc:513:run$4944 [0] } Optimizing cells in module \processorci_top. Performed a total of 1 changes. 21.30.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.30.13. Executing OPT_DFF pass (perform DFF optimizations). Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$4630 ($sdff) from module processorci_top. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$4674 ($sdffe) from module processorci_top. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$4702 ($sdffe) from module processorci_top. Setting constant 0-bit at position 5 on $auto$ff.cc:266:slice$4804 ($sdffe) from module processorci_top. Setting constant 0-bit at position 6 on $auto$ff.cc:266:slice$4804 ($sdffe) from module processorci_top. Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$4804 ($sdffe) from module processorci_top. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$4831 ($dffe) from module processorci_top. 21.30.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 1 unused wires. 21.30.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.30.16. Rerunning OPT passes. (Maybe there is more to do..) 21.30.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.30.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Controller.\Interpreter.$procmux$1544: Old ports: A=8'00000100, B={ 3'000 \Controller.Interpreter.return_state [4:0] }, Y=$flatten\Controller.\Interpreter.$procmux$1544_Y New ports: A=5'00100, B=\Controller.Interpreter.return_state [4:0], Y=$flatten\Controller.\Interpreter.$procmux$1544_Y [4:0] New connections: $flatten\Controller.\Interpreter.$procmux$1544_Y [7:5] = 3'000 Optimizing cells in module \processorci_top. Consolidated identical input bits for $pmux cell $flatten\Controller.\Interpreter.$procmux$1522: Old ports: A=8'00000000, B={ 7'0000000 $auto$wreduce.cc:513:run$4909 [0] 6'000000 $auto$wreduce.cc:513:run$4902 [1:0] 1'0 $auto$wreduce.cc:513:run$4907 [6:0] 14'00001101000011 $auto$wreduce.cc:513:run$4906 [1:0] 3'000 \Controller.Interpreter.return_state [4:0] 1'0 $auto$wreduce.cc:513:run$4905 [6] 1'0 $auto$wreduce.cc:513:run$4905 [6] 3'011 $auto$wreduce.cc:513:run$4905 [6] 7'0000011 \Controller.Uart.read_response 4'0000 $auto$wreduce.cc:513:run$4901 [3] 2'00 $auto$wreduce.cc:513:run$4901 [3] 6'000010 $auto$wreduce.cc:513:run$4902 [1:0] 20'00001000000010110000 $auto$wreduce.cc:513:run$4901 [3] $auto$wreduce.cc:513:run$4901 [3] 18'000000010100000100 $flatten\Controller.\Interpreter.$procmux$1544_Y 1'0 $auto$wreduce.cc:513:run$4900 [6] 3'010 $auto$wreduce.cc:513:run$4900 [2] $auto$wreduce.cc:513:run$4900 [6] $auto$wreduce.cc:513:run$4900 [2] 13'0001001100010 $auto$wreduce.cc:513:run$4899 [2:1] $auto$wreduce.cc:513:run$4899 [1] 31'0001011001010010000100000001000 $auto$wreduce.cc:513:run$4898 [0] 8'00000011 }, Y=$flatten\Controller.\Interpreter.$procmux$1522_Y New ports: A=7'0000000, B={ 6'000000 $auto$wreduce.cc:513:run$4909 [0] 5'00000 $auto$wreduce.cc:513:run$4902 [1:0] $auto$wreduce.cc:513:run$4907 [6:0] 12'000110100011 $auto$wreduce.cc:513:run$4906 [1:0] 2'00 \Controller.Interpreter.return_state [4:0] $auto$wreduce.cc:513:run$4905 [6] 1'0 $auto$wreduce.cc:513:run$4905 [6] 3'011 $auto$wreduce.cc:513:run$4905 [6] 6'000011 \Controller.Uart.read_response 3'000 $auto$wreduce.cc:513:run$4901 [3] 2'00 $auto$wreduce.cc:513:run$4901 [3] 5'00010 $auto$wreduce.cc:513:run$4902 [1:0] 17'00010000001011000 $auto$wreduce.cc:513:run$4901 [3] $auto$wreduce.cc:513:run$4901 [3] 18'000000101000010000 $flatten\Controller.\Interpreter.$procmux$1544_Y [4:0] $auto$wreduce.cc:513:run$4900 [6] 3'010 $auto$wreduce.cc:513:run$4900 [2] $auto$wreduce.cc:513:run$4900 [6] $auto$wreduce.cc:513:run$4900 [2] 11'00100110010 $auto$wreduce.cc:513:run$4899 [2:1] $auto$wreduce.cc:513:run$4899 [1] 27'001011010100100010000001000 $auto$wreduce.cc:513:run$4898 [0] 7'0000011 }, Y=$flatten\Controller.\Interpreter.$procmux$1522_Y [6:0] New connections: $flatten\Controller.\Interpreter.$procmux$1522_Y [7] = 1'0 Optimizing cells in module \processorci_top. Performed a total of 2 changes. 21.30.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.30.20. Executing OPT_DFF pass (perform DFF optimizations). 21.30.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.30.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.30.23. Rerunning OPT passes. (Maybe there is more to do..) 21.30.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.30.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.30.26. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.30.27. Executing OPT_DFF pass (perform DFF optimizations). Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$4859 ($sdff) from module processorci_top. 21.30.28. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.30.29. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.30.30. Rerunning OPT passes. (Maybe there is more to do..) 21.30.31. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 21.30.32. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.30.33. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.30.34. Executing OPT_DFF pass (perform DFF optimizations). 21.30.35. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.30.36. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.30.37. Finished OPT passes. (There is nothing left to do.) 21.31. Executing TECHMAP pass (map to technology primitives). 21.31.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/techmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 21.31.2. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/arith_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/arith_map.v' to AST representation. Generating RTLIL representation for module `\_80_ecp5_alu'. Successfully finished Verilog frontend. 21.31.3. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $dffe. Using template $paramod$824a2ca00d29d886599434cf8ea60471635f2955\_90_demux for cells of type $demux. Using extmapper simplemap for cells of type $sdff. Using extmapper simplemap for cells of type $bmux. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $reduce_or. Using template $paramod$f956edc9fe4df758d9fdb10bfc518a364c99e75e\_90_alu for cells of type $alu. Using template $paramod$eaeb96106163dbf82031649d189817109fe07c69\_90_demux for cells of type $demux. Using extmapper simplemap for cells of type $ne. Using extmapper simplemap for cells of type $dff. Using extmapper simplemap for cells of type $logic_not. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $eq. Using extmapper simplemap for cells of type $reduce_and. Using extmapper simplemap for cells of type $reduce_bool. Using template $paramod$8a99b868050f542c83270fc93de09787e35f2c64\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $sdffe. Using extmapper simplemap for cells of type $lut. Using template $paramod$c04af8dbf0e5d1d69bbccb2c7bd8a93fc9ef54dc\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $or. Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\_80_ecp5_alu for cells of type $alu. Using template $paramod$c3cd1564c35d873179656addd6052d7ea8b6d991\_80_ecp5_alu for cells of type $alu. Using template $paramod$039520c137afc9cd69dd56c3fb11a4e1fbe5f664\_80_ecp5_alu for cells of type $alu. Using template $paramod$bdc78fe5225b43c64ccdf13e5eb67d9dcf228e8a\_80_ecp5_alu for cells of type $alu. Using template $paramod$ff467a932a72bec5a93c27dba04bf3daba067451\_80_ecp5_alu for cells of type $alu. Using template $paramod$3ef7d3dd227da7627a99c5e5a6a4deb817573e39\_90_alu for cells of type $alu. Using template $paramod$6a42b6fefed750f8a1c58eab59479d960557103c\_80_ecp5_alu for cells of type $alu. Using template $paramod$79aa992f2eb7f354d4aaf651790713cf239111fa\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $logic_or. Using template $paramod$c9511eeb847f2aa95252b1013477609463f67ee0\_80_ecp5_alu for cells of type $alu. Using template $paramod$a7b312e4ba8fdc79d4c5e0789e4e6c41ea3896fb\_90_pmux for cells of type $pmux. Using template $paramod$7a385d5b7a2e603dbc5beef838648775b433f248\_90_pmux for cells of type $pmux. Using template $paramod$54a4503cc57b9df40b70c1899504d6aac2650719\_90_pmux for cells of type $pmux. Using template $paramod$59b03ae2620a41577de8da5f5c97b2919e82362b\_90_pmux for cells of type $pmux. Using template $paramod$24fb226dd75c9d3f6955ec2ad61d794776778cf6\_90_pmux for cells of type $pmux. Using template $paramod$cc1e387d9d5ac1d3f6e6bed180038d9c0ac48d0c\_90_pmux for cells of type $pmux. Using template $paramod$8fabc56b80a569262acfc42757a02ca0b8e91278\_90_pmux for cells of type $pmux. Using template $paramod$54d740639e1393b22262823179ff783ea9f17a35\_90_pmux for cells of type $pmux. Using template $paramod$32efbfac1c4dc57230cf86180788fdfd12e3b511\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $logic_and. Using template $paramod$d31bf4d7d72e59528d18fbd4f322e9d608532043\_90_pmux for cells of type $pmux. Using extmapper simplemap for cells of type $sdffce. Using template $paramod$32a7b7b86c07519b7537abc18e96f0331f97914d\_90_alu for cells of type $alu. Using template $paramod$ac45afa5bcd8e16ca475cce13f9d19bb109f1516\_80_ecp5_alu for cells of type $alu. Using template $paramod$068ad458e7761d78e5eed8238508872e7b0aef95\_90_pmux for cells of type $pmux. Using template $paramod$53700bbee849b2010ad0b60a61ccd204a10e24ca\_80_ecp5_alu for cells of type $alu. Using template $paramod$73d715d333263ca9cf422f13d07e21664e3ab775\_80_ecp5_alu for cells of type $alu. Using template $paramod$ed6389a5938b09f91843a91d67becca5abedb1bd\_90_pmux for cells of type $pmux. Using template $paramod$645fe0cc96ae5edb83bff90cc2c78f4a20ca3e3c\_90_pmux for cells of type $pmux. Using template $paramod$49f1dc3dcd6d2c748486fe94c6744a34a19bbafe\_90_pmux for cells of type $pmux. Using template $paramod$c96def1cdcef2eee3c62e5dfb7ba2dd09c9f74dd\_90_pmux for cells of type $pmux. Using extmapper simplemap for cells of type $xor. Using template $paramod$cc80a4e89b0341cb117f5d28b0e7244620640141\_80_ecp5_alu for cells of type $alu. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$335cfd09f1afa8139c4aafcbbe5f361887b79c5e\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shl. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$feecc7a0dbd012970970f2858f15e786e251f677\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shr. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$5180471e6f22625c8e3c4261cd538e11648586b5\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshr. Using template $paramod$33afdd83bf3811dac2de7a968d39eea5718691bc\_90_pmux for cells of type $pmux. Using template $paramod$95ab7b964273918a033d1324366ecc612d202989\_90_pmux for cells of type $pmux. Using template $paramod$bf2533632d512eac76dd186c0da49367e29b8e98\_90_pmux for cells of type $pmux. Using template $paramod$85df5dc01c7df96a7d8e5f1fdf76ce9ac452af63\_90_pmux for cells of type $pmux. Using template $paramod$521ce43182eecb9f60c72393a788160d2c356bf5\_90_pmux for cells of type $pmux. Using template $paramod$a285b5a57fe61eabc57c91b8c412748ee1151a85\_90_pmux for cells of type $pmux. Using template $paramod$e25898cce02b4d043ab08e065e45db8cf66c901c\_90_pmux for cells of type $pmux. Using template $paramod$730057d8259da96d4776b15a47b747852ed4c479\_90_pmux for cells of type $pmux. Using template $paramod$e13ed4cc4d636b3e93547ec233231d1aa3a8ac92\_90_pmux for cells of type $pmux. Using template $paramod$c6baa65225090ac0a120feab1b920965244aa496\_80_ecp5_alu for cells of type $alu. Using template $paramod$610911f8769324f781128d641f7fe2120143c328\_80_ecp5_alu for cells of type $alu. Using template $paramod$425066d11b1a6f068ec157e714ae99280dc1b91b\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $pos. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000011 for cells of type $lcu. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000100 for cells of type $lcu. Using template $paramod$46c272b461898a6a76adeeeedb0917ce1a81b478\_90_demux for cells of type $demux. Using template $paramod$63301a5e78ceb1f2c17a4ac1894f292ed5701c1f\_90_demux for cells of type $demux. No more expansions possible. 21.32. Executing OPT pass (performing simple optimizations). 21.32.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.32.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2009 cells. 21.32.3. Executing OPT_DFF pass (perform DFF optimizations). 21.32.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1980 unused cells and 6774 unused wires. 21.32.5. Finished fast OPT passes. 21.33. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.34. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 21.35. Executing TECHMAP pass (map to technology primitives). 21.35.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. Generating RTLIL representation for module `\$_DFFE_PN_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_DFFE_NP0N_'. Generating RTLIL representation for module `\$_DFFE_NP1N_'. Generating RTLIL representation for module `\$_DFFE_PP0N_'. Generating RTLIL representation for module `\$_DFFE_PP1N_'. Generating RTLIL representation for module `\$_SDFFE_NP0P_'. Generating RTLIL representation for module `\$_SDFFE_NP1P_'. Generating RTLIL representation for module `\$_SDFFE_PP0P_'. Generating RTLIL representation for module `\$_SDFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFFE_NP0N_'. Generating RTLIL representation for module `\$_SDFFE_NP1N_'. Generating RTLIL representation for module `\$_SDFFE_PP0N_'. Generating RTLIL representation for module `\$_SDFFE_PP1N_'. Generating RTLIL representation for module `\$_ALDFF_NP_'. Generating RTLIL representation for module `\$_ALDFF_PP_'. Generating RTLIL representation for module `\$_ALDFFE_NPN_'. Generating RTLIL representation for module `\$_ALDFFE_NPP_'. Generating RTLIL representation for module `\$_ALDFFE_PPN_'. Generating RTLIL representation for module `\$_ALDFFE_PPP_'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Successfully finished Verilog frontend. 21.35.2. Continuing TECHMAP pass. Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'0 for cells of type $_DFFE_PP_. Using template \$_SDFFE_PP0P_ for cells of type $_SDFFE_PP0P_. Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'1 for cells of type $_DFFE_PP_. Using template \$_SDFF_PP0_ for cells of type $_SDFF_PP0_. Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFFE_PP_. Using template $paramod\$_DFF_P_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFF_P_. Using template \$_SDFF_PP1_ for cells of type $_SDFF_PP1_. Using template \$_SDFFE_PP0N_ for cells of type $_SDFFE_PP0N_. Using template \$_SDFFE_PP1P_ for cells of type $_SDFFE_PP1P_. Using template $paramod\$_DFFE_PN_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFFE_PN_. No more expansions possible. 21.36. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.37. Executing SIMPLEMAP pass (map simple cells to gate primitives). 21.38. Executing LATTICE_GSR pass (implement FF init values). Handling GSR in processorci_top. 21.39. Executing ATTRMVCP pass (move or copy attributes). 21.40. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 8779 unused wires. 21.41. Executing TECHMAP pass (map to technology primitives). 21.41.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/latches_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/latches_map.v' to AST representation. Generating RTLIL representation for module `\$_DLATCH_N_'. Generating RTLIL representation for module `\$_DLATCH_P_'. Successfully finished Verilog frontend. 21.41.2. Continuing TECHMAP pass. No more expansions possible. 21.42. Executing ABC9 pass. 21.42.1. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.2. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.3. Executing PROC pass (convert processes to netlists). 21.42.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$45966'. Cleaned up 1 empty switch. 21.42.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$45967 in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Removed a total of 0 dead cases. 21.42.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 22 assignments to connections. 21.42.3.4. Executing PROC_INIT pass (extract init attributes). 21.42.3.5. Executing PROC_ARST pass (detect async resets in processes). 21.42.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 21.42.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$45967'. 1/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$45965_EN[3:0]$45973 2/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$45965_DATA[3:0]$45972 3/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$45965_ADDR[3:0]$45971 Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$45966'. 21.42.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 21.42.3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.\i' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45950_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45960_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45954_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45956_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45964_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45952_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45957_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45962_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45959_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45951_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45955_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45961_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45949_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45963_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45958_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$45953_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$45965_ADDR' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$45967'. created $dff cell `$procdff$46017' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$45965_DATA' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$45967'. created $dff cell `$procdff$46018' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$45965_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$45967'. created $dff cell `$procdff$46019' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.\muxwre' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$45966'. created direct connection (no actual register cell created). 21.42.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 21.42.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$45991'. Found and cleaned up 1 empty switch in `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$45967'. Removing empty process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$45966'. Cleaned up 1 empty switch. 21.42.3.12. Executing OPT_EXPR pass (perform const folding). 21.42.4. Executing PROC pass (convert processes to netlists). 21.42.4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$46037'. Cleaned up 1 empty switch. 21.42.4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$46038 in module $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4. Removed a total of 0 dead cases. 21.42.4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 22 assignments to connections. 21.42.4.4. Executing PROC_INIT pass (extract init attributes). 21.42.4.5. Executing PROC_ARST pass (detect async resets in processes). 21.42.4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 21.42.4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. Creating decoders for process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$46038'. 1/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$46036_EN[3:0]$46043 2/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$46036_DATA[3:0]$46042 3/3: $1$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$46036_ADDR[3:0]$46044 Creating decoders for process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$46037'. 21.42.4.8. Executing PROC_DLATCH pass (convert process syncs to latches). 21.42.4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46028_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46032_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46024_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46033_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46029_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46025_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46034_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46030_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46035_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.\i' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46020_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46023_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46031_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46026_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46021_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46022_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:207$46027_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. created direct connection (no actual register cell created). Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$46036_DATA' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$46038'. created $dff cell `$procdff$46088' with positive edge clock. Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$46036_EN' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$46038'. created $dff cell `$procdff$46089' with positive edge clock. Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$memwr$\mem$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:223$46036_ADDR' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$46038'. created $dff cell `$procdff$46090' with positive edge clock. Creating register for signal `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.\muxwre' using process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$46037'. created direct connection (no actual register cell created). 21.42.4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 21.42.4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:0$46062'. Found and cleaned up 1 empty switch in `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:221$46038'. Removing empty process `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.$proc$/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_sim.v:213$46037'. Cleaned up 1 empty switch. 21.42.4.12. Executing OPT_EXPR pass (perform const folding). 21.42.5. Executing SCC pass (detecting logic loops). Found an SCC: $auto$simplemap.cc:126:simplemap_reduce$10228 $auto$simplemap.cc:38:simplemap_not$10002 $auto$simplemap.cc:38:simplemap_not$38803 $auto$ff.cc:266:slice$24139 $auto$ff.cc:479:convert_ce_over_srst$44267 $auto$simplemap.cc:38:simplemap_not$38808 $auto$ff.cc:266:slice$24144 $auto$ff.cc:479:convert_ce_over_srst$44277 $auto$simplemap.cc:126:simplemap_reduce$17374 $auto$simplemap.cc:38:simplemap_not$38804 $auto$ff.cc:266:slice$24140 $auto$ff.cc:479:convert_ce_over_srst$44269 $auto$ff.cc:266:slice$24141 $auto$ff.cc:479:convert_ce_over_srst$44271 $auto$simplemap.cc:75:simplemap_bitop$40220 $auto$ff.cc:266:slice$24143 $auto$ff.cc:479:convert_ce_over_srst$44275 $auto$simplemap.cc:126:simplemap_reduce$10294 $auto$simplemap.cc:126:simplemap_reduce$10292 $auto$simplemap.cc:126:simplemap_reduce$10230 $auto$simplemap.cc:126:simplemap_reduce$10227 $auto$simplemap.cc:126:simplemap_reduce$17380 $auto$simplemap.cc:126:simplemap_reduce$17378 $auto$simplemap.cc:75:simplemap_bitop$40217 $auto$opt_expr.cc:617:replace_const_cells$43589 $auto$simplemap.cc:75:simplemap_bitop$40223 $auto$ff.cc:266:slice$24142 $auto$ff.cc:479:convert_ce_over_srst$44273 $auto$simplemap.cc:126:simplemap_reduce$22038 $auto$simplemap.cc:75:simplemap_bitop$40225 $auto$simplemap.cc:75:simplemap_bitop$40219 Found an SCC: $auto$opt_expr.cc:617:replace_const_cells$43585 $auto$ff.cc:266:slice$14660 $auto$ff.cc:266:slice$14661 $auto$simplemap.cc:126:simplemap_reduce$14752 $auto$simplemap.cc:126:simplemap_reduce$14783 $auto$opt_expr.cc:617:replace_const_cells$43583 $auto$ff.cc:266:slice$14659 $auto$simplemap.cc:38:simplemap_not$30808 $auto$ff.cc:266:slice$14658 $auto$simplemap.cc:126:simplemap_reduce$14755 $auto$simplemap.cc:126:simplemap_reduce$14751 $auto$simplemap.cc:126:simplemap_reduce$14786 $auto$simplemap.cc:126:simplemap_reduce$14782 $auto$simplemap.cc:38:simplemap_not$30807 $auto$ff.cc:266:slice$14657 $auto$simplemap.cc:38:simplemap_not$30806 $auto$ff.cc:266:slice$14656 $auto$simplemap.cc:126:simplemap_reduce$14781 $auto$ff.cc:266:slice$14655 $auto$ff.cc:266:slice$14654 $auto$simplemap.cc:196:simplemap_lognot$14792 $auto$simplemap.cc:126:simplemap_reduce$14790 $auto$simplemap.cc:126:simplemap_reduce$14788 $auto$simplemap.cc:126:simplemap_reduce$14785 $auto$simplemap.cc:126:simplemap_reduce$14780 $auto$simplemap.cc:38:simplemap_not$30803 $auto$simplemap.cc:126:simplemap_reduce$14749 $auto$ff.cc:266:slice$14653 $auto$simplemap.cc:167:logic_reduce$10253 $auto$simplemap.cc:225:simplemap_logbin$14733 $auto$simplemap.cc:225:simplemap_logbin$14734 $auto$simplemap.cc:196:simplemap_lognot$14761 $auto$simplemap.cc:126:simplemap_reduce$14759 $auto$simplemap.cc:126:simplemap_reduce$14757 $auto$simplemap.cc:126:simplemap_reduce$14754 $auto$simplemap.cc:126:simplemap_reduce$14750 $auto$simplemap.cc:38:simplemap_not$30805 Found an SCC: $auto$opt_expr.cc:617:replace_const_cells$43547 $auto$ff.cc:266:slice$14801 $auto$simplemap.cc:126:simplemap_reduce$16924 $auto$simplemap.cc:126:simplemap_reduce$16939 $auto$ff.cc:266:slice$14800 $auto$ff.cc:266:slice$14799 $auto$simplemap.cc:126:simplemap_reduce$30814 $auto$simplemap.cc:75:simplemap_bitop$30829 $auto$simplemap.cc:267:simplemap_mux$16910 $auto$simplemap.cc:225:simplemap_logbin$16913 $auto$simplemap.cc:196:simplemap_lognot$16928 $auto$simplemap.cc:126:simplemap_reduce$16926 $auto$simplemap.cc:126:simplemap_reduce$16923 $auto$opt_expr.cc:617:replace_const_cells$43907 $auto$opt_expr.cc:617:replace_const_cells$43557 $auto$simplemap.cc:267:simplemap_mux$30831 $auto$simplemap.cc:126:simplemap_reduce$30822 $auto$simplemap.cc:126:simplemap_reduce$30819 $auto$simplemap.cc:75:simplemap_bitop$30827 $auto$simplemap.cc:196:simplemap_lognot$16943 $auto$simplemap.cc:126:simplemap_reduce$16941 $auto$simplemap.cc:126:simplemap_reduce$16938 $auto$ff.cc:266:slice$14798 $auto$simplemap.cc:126:simplemap_reduce$10617 $auto$simplemap.cc:126:simplemap_reduce$10615 $auto$simplemap.cc:225:simplemap_logbin$16869 $auto$simplemap.cc:196:simplemap_lognot$16879 $auto$simplemap.cc:126:simplemap_reduce$16877 $auto$opt_expr.cc:617:replace_const_cells$43559 $auto$simplemap.cc:267:simplemap_mux$30832 $auto$simplemap.cc:126:simplemap_reduce$30817 Found an SCC: $auto$ff.cc:266:slice$14810 $auto$opt_expr.cc:617:replace_const_cells$43545 $auto$ff.cc:266:slice$14809 $auto$simplemap.cc:126:simplemap_reduce$16961 $auto$opt_expr.cc:617:replace_const_cells$43543 $auto$ff.cc:266:slice$14808 $auto$ff.cc:266:slice$14807 $auto$simplemap.cc:126:simplemap_reduce$16964 $auto$simplemap.cc:126:simplemap_reduce$16960 $auto$simplemap.cc:38:simplemap_not$30882 $auto$ff.cc:266:slice$14806 $auto$ff.cc:266:slice$14805 $auto$ff.cc:266:slice$14804 $auto$ff.cc:266:slice$14803 $auto$simplemap.cc:126:simplemap_reduce$16958 $auto$simplemap.cc:38:simplemap_not$30878 $auto$ff.cc:266:slice$14802 $auto$simplemap.cc:126:simplemap_reduce$10674 $auto$simplemap.cc:196:simplemap_lognot$16970 $auto$simplemap.cc:126:simplemap_reduce$16968 $auto$simplemap.cc:126:simplemap_reduce$16966 $auto$simplemap.cc:126:simplemap_reduce$16963 $auto$simplemap.cc:126:simplemap_reduce$16959 $auto$simplemap.cc:38:simplemap_not$30881 Found 4 SCCs in module processorci_top. Found 4 SCCs. 21.42.6. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.7. Executing PROC pass (convert processes to netlists). 21.42.7.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 21.42.7.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 21.42.7.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 21.42.7.4. Executing PROC_INIT pass (extract init attributes). 21.42.7.5. Executing PROC_ARST pass (detect async resets in processes). 21.42.7.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 21.42.7.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 21.42.7.8. Executing PROC_DLATCH pass (convert process syncs to latches). 21.42.7.9. Executing PROC_DFF pass (convert process syncs to FFs). 21.42.7.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 21.42.7.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 21.42.7.12. Executing OPT_EXPR pass (perform const folding). 21.42.8. Executing TECHMAP pass (map to technology primitives). 21.42.8.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/techmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 21.42.8.2. Continuing TECHMAP pass. No more expansions possible. 21.42.9. Executing OPT pass (performing simple optimizations). 21.42.9.1. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Optimizing module $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4. 21.42.9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4'. Finding identical cells in module `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4'. Removed a total of 0 cells. 21.42.9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.. Creating internal representation of mux trees. No muxes found in this module. Running muxtree optimizer on module $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 21.42.9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Optimizing cells in module $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4. Performed a total of 0 changes. 21.42.9.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4'. Finding identical cells in module `$paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4'. Removed a total of 0 cells. 21.42.9.6. Executing OPT_DFF pass (perform DFF optimizations). 21.42.9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.. Finding unused cells or wires in module $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4.. 21.42.9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Optimizing module $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4. 21.42.9.9. Finished OPT passes. (There is nothing left to do.) 21.42.10. Executing TECHMAP pass (map to technology primitives). 21.42.10.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/abc9_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/abc9_map.v' to AST representation. Successfully finished Verilog frontend. 21.42.10.2. Continuing TECHMAP pass. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Using template $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4 for cells of type $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4. No more expansions possible. 21.42.11. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/abc9_model.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/abc9_model.v' to AST representation. Generating RTLIL representation for module `$__ABC9_DELAY'. Generating RTLIL representation for module `$__ABC9_SCC_BREAKER'. Generating RTLIL representation for module `$__DFF_N__$abc9_flop'. Generating RTLIL representation for module `$__DFF_P__$abc9_flop'. Successfully finished Verilog frontend. 21.42.12. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.13. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.14. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.15. Executing TECHMAP pass (map to technology primitives). 21.42.15.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/techmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 21.42.15.2. Continuing TECHMAP pass. Using template $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C for cells of type $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C. Using template $paramod\LUT4\INIT=16'1001011010101010 for cells of type LUT4. Using template $paramod\LUT2\INIT=4'1010 for cells of type LUT2. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $or. Using extmapper simplemap for cells of type $mux. No more expansions possible. 21.42.16. Executing OPT pass (performing simple optimizations). 21.42.16.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.42.16.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 21.42.16.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 21.42.16.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.42.16.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.42.16.6. Executing OPT_DFF pass (perform DFF optimizations). 21.42.16.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 55 unused wires. 21.42.16.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.42.16.9. Rerunning OPT passes. (Maybe there is more to do..) 21.42.16.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 21.42.16.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 21.42.16.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 21.42.16.13. Executing OPT_DFF pass (perform DFF optimizations). 21.42.16.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 21.42.16.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 21.42.16.16. Finished OPT passes. (There is nothing left to do.) 21.42.17. Executing AIGMAP pass (map logic to AIG). Module processorci_top: replaced 18 cells with 120 new cells, skipped 39 cells. replaced 3 cell types: 2 $_OR_ 2 $_XOR_ 14 $_MUX_ not replaced 3 cell types: 31 $specify2 4 $_NOT_ 4 $_AND_ 21.42.18. Executing AIGMAP pass (map logic to AIG). Module processorci_top: replaced 8663 cells with 52999 new cells, skipped 6613 cells. replaced 4 cell types: 2546 $_OR_ 211 $_XOR_ 1 $_ORNOT_ 5905 $_MUX_ not replaced 11 cell types: 24 $scopeinfo 585 $_NOT_ 1889 $_AND_ 384 $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C 1574 TRELLIS_FF 4 MULT18X18D 24 $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4_$abc9_byp 1052 $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp 24 $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4 1052 $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 1 $__ABC9_SCC_BREAKER 21.42.18.1. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.18.2. Executing ABC9_OPS pass (helper functions for ABC9). 21.42.18.3. Executing XAIGER backend. Extracted 22784 AND gates and 68156 wires from module `processorci_top' to a netlist network with 6017 inputs and 1782 outputs. 21.42.18.4. Executing ABC9_EXE pass (technology mapping using ABC9). 21.42.18.5. Executing ABC9. Running ABC command: "/yosys-abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_lut /input.lut ABC: + read_box /input.box ABC: + &read /input.xaig ABC: + &ps ABC: /input : i/o = 6017/ 1782 and = 21102 lev = 44 (3.06) mem = 0.63 MB box = 1460 bb = 1076 ABC: + &scorr ABC: Warning: The network is combinational. ABC: + &sweep ABC: + &dc2 ABC: + &dch -f ABC: + &ps ABC: /input : i/o = 6017/ 1782 and = 28966 lev = 55 (2.11) mem = 0.72 MB ch = 2679 box = 1460 bb = 1076 ABC: + &if -W 300 -v ABC: K = 7. Memory (bytes): Truth = 0. Cut = 64. Obj = 148. Set = 672. CutMin = no ABC: Node = 28966. Ch = 2031. Total mem = 8.49 MB. Peak cut mem = 0.23 MB. ABC: P: Del = 5888.00. Ar = 38660.0. Edge = 40164. Cut = 337405. T = 0.16 sec ABC: P: Del = 5866.00. Ar = 38753.0. Edge = 40380. Cut = 336051. T = 0.16 sec ABC: P: Del = 5866.00. Ar = 27159.0. Edge = 36487. Cut = 769361. T = 0.33 sec ABC: F: Del = 5866.00. Ar = 11137.0. Edge = 26399. Cut = 643994. T = 0.29 sec ABC: If_ObjPerformMappingAnd(): Warning! Node with ID 48787 has delay (5421.000000) exceeding the required times (5418.004883). ABC: If_ObjPerformMappingAnd(): Warning! Node with ID 49146 has delay (5869.000000) exceeding the required times (5866.004883). ABC: If_ObjPerformMappingAnd(): Warning! Node with ID 50297 has delay (5421.000000) exceeding the required times (5418.004883). ABC: If_ObjPerformMappingAnd(): Warning! Node with ID 50586 has delay (5869.000000) exceeding the required times (5866.004883). ABC: A: Del = 5869.00. Ar = 10055.0. Edge = 24680. Cut = 575344. T = 0.38 sec ABC: A: Del = 5869.00. Ar = 9915.0. Edge = 24390. Cut = 597383. T = 0.39 sec ABC: Total time = 1.72 sec ABC: + &write -n /output.aig ABC: + &mfs ABC: + &ps -l ABC: /input : i/o = 6017/ 1782 and = 22069 lev = 32 (2.27) mem = 0.64 MB box = 1460 bb = 1076 ABC: Mapping (K=7) : lut = 6367 edge = 24190 lev = 12 (1.15) Boxes are not in a topological order. Switching to level computation without boxes. ABC: levB = 32 mem = 0.32 MB ABC: LUT = 6367 : 2=821 12.9 % 3=1808 28.4 % 4=2246 35.3 % 5=1071 16.8 % 6=162 2.5 % 7=259 4.1 % Ave = 3.80 ABC: + &write -n /output.aig ABC: + time ABC: elapse: 16.71 seconds, total: 16.71 seconds 21.42.18.6. Executing AIGER frontend. Removed 29208 unused cells and 59703 unused wires. 21.42.18.7. Executing ABC9_OPS pass (helper functions for ABC9). ABC RESULTS: $lut cells: 6380 ABC RESULTS: $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C cells: 384 ABC RESULTS: $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4_$abc9_byp cells: 24 ABC RESULTS: $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp cells: 1052 ABC RESULTS: input signals: 1166 ABC RESULTS: output signals: 298 Removing temp directory. 21.42.19. Executing TECHMAP pass (map to technology primitives). 21.42.19.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/abc9_unmap.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/abc9_unmap.v' to AST representation. Generating RTLIL representation for module `\$__DFF_x__$abc9_flop'. Generating RTLIL representation for module `\$__ABC9_SCC_BREAKER'. Successfully finished Verilog frontend. 21.42.19.2. Continuing TECHMAP pass. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Using template $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C for cells of type $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp. Using template $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4 for cells of type $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4. Using template $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4_$abc9_byp for cells of type $paramod$d1cad21b87e74b01c8dcd657997284bb4d05c02a\TRELLIS_DPR16X4_$abc9_byp. Using template $paramod\$__ABC9_SCC_BREAKER\WIDTH=32'00000000000000000000000000000100 for cells of type $__ABC9_SCC_BREAKER. No more expansions possible. Removed 455 unused cells and 85053 unused wires. 21.43. Executing TECHMAP pass (map to technology primitives). 21.43.1. Executing Verilog-2005 frontend: /eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v Parsing Verilog input from `/eda/oss-cad-suite/lib/../share/yosys/ecp5/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. Generating RTLIL representation for module `\$_DFFE_PN_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_DFFE_NP0N_'. Generating RTLIL representation for module `\$_DFFE_NP1N_'. Generating RTLIL representation for module `\$_DFFE_PP0N_'. Generating RTLIL representation for module `\$_DFFE_PP1N_'. Generating RTLIL representation for module `\$_SDFFE_NP0P_'. Generating RTLIL representation for module `\$_SDFFE_NP1P_'. Generating RTLIL representation for module `\$_SDFFE_PP0P_'. Generating RTLIL representation for module `\$_SDFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFFE_NP0N_'. Generating RTLIL representation for module `\$_SDFFE_NP1N_'. Generating RTLIL representation for module `\$_SDFFE_PP0N_'. Generating RTLIL representation for module `\$_SDFFE_PP1N_'. Generating RTLIL representation for module `\$_ALDFF_NP_'. Generating RTLIL representation for module `\$_ALDFF_PP_'. Generating RTLIL representation for module `\$_ALDFFE_NPN_'. Generating RTLIL representation for module `\$_ALDFFE_NPP_'. Generating RTLIL representation for module `\$_ALDFFE_PPN_'. Generating RTLIL representation for module `\$_ALDFFE_PPP_'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Generating RTLIL representation for module `\$lut'. Successfully finished Verilog frontend. 21.43.2. Continuing TECHMAP pass. Using template $paramod$8c2f43e08c9cc2b49de93af951f385231789cba4\$lut for cells of type $lut. Using template $paramod$c8f2b00a2feb859040935d06cafa51f6c4e20e0d\$lut for cells of type $lut. Using template $paramod$272652f6c6fbe9a75eff76e45cc7e2788835518b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1000 for cells of type $lut. Using template $paramod$251994398653c4cf8de320f1e306e535d5d2d624\$lut for cells of type $lut. Using template $paramod$9e1833a186966f2db3a6f246584a6f2d0abc1c40\$lut for cells of type $lut. Using template $paramod$6d6beead1425af15cf78b27fd9b11b41b5d4bce8\$lut for cells of type $lut. Using template $paramod$704f3adc628febe829a4bf33e3d2843d58ad6c19\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11010000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01000000 for cells of type $lut. Using template $paramod$fd612331c30e9d253090fdb1f8a32e43d927e731\$lut for cells of type $lut. Using template $paramod$2844c7fef2a755a9af80c70990cd830291c4b71c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100011 for cells of type $lut. Using template $paramod$ccd3e15dc00d71b9284dff48e88ccef5be7362c8\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001011 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0010 for cells of type $lut. Using template $paramod$c97bcad21440836b1df0dc8f4860bb61034e5b37\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010011 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10000000 for cells of type $lut. Using template $paramod$505ef859daf333cd3708b17e0847e5ec5b9043b5\$lut for cells of type $lut. Using template $paramod$df5c8730c0a53792c3f54c2192a2221c27162fb5\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000010 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0001 for cells of type $lut. Using template $paramod$571404c0889eaf57f492cb5e37f8acb5df5852f9\$lut for cells of type $lut. Using template $paramod$873c285bdccf0ac2b60d2304ea5cd14bf211d2a6\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110000 for cells of type $lut. Using template $paramod$ac2ea6024ab66d57878b75ea4ad42990a018eb08\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010000 for cells of type $lut. Using template $paramod$f3ada871809f362efd8eb0c4fb952bb5c98f0750\$lut for cells of type $lut. Using template $paramod$c28a8b7ce0535d090c4cfb52e9c74affd52b110c\$lut for cells of type $lut. Using template $paramod$6e238df02989b317f10820a22773676e71120644\$lut for cells of type $lut. Using template $paramod$9dfe2a25d99d8640a9f67a2438aaca85b684d257\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001010 for cells of type $lut. Using template $paramod$ee6944635a66b35a2c008244d1b98fdaec97fc5f\$lut for cells of type $lut. Using template $paramod$9dbe36982f6b8ca20db05cfcd5650178f13179f3\$lut for cells of type $lut. Using template $paramod$bce98cbc4c7663d9534fcdf870483176065e0cfd\$lut for cells of type $lut. Using template $paramod$c1a19a87ccbbb03d43a72335db63f692ddf82cc1\$lut for cells of type $lut. Using template $paramod$dc41a956c02896bb314b3585a99557a5e53688a4\$lut for cells of type $lut. Using template $paramod$94e65f323749ab2f501acf5577af42456678fff9\$lut for cells of type $lut. Using template $paramod$fbda9ba53f7f57dd3cad1873a35a93bdf5d3a284\$lut for cells of type $lut. Using template $paramod$f9813472aa48e533b3838c6f2316dc2e78c66111\$lut for cells of type $lut. Using template $paramod$09194da5f2c8e08bed8f609fd0e254d8629b24b3\$lut for cells of type $lut. Using template $paramod$6e2b27a23561eba4d5d7a3612a01502854865858\$lut for cells of type $lut. Using template $paramod$0fa1c6e5d65a4e509c15b676a94b9aed076b9f4d\$lut for cells of type $lut. Using template $paramod$11f7a95762c5b4b70c087a0502121611638269c5\$lut for cells of type $lut. Using template $paramod$b40080b643baa8bb528ec249e10d82b2d80dfed9\$lut for cells of type $lut. Using template $paramod$86383343966e3bff32e6853693315c5777aea5e0\$lut for cells of type $lut. Using template $paramod$7e658042a7979951cdeb1f505d94f9d880eec8d9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000100 for cells of type $lut. Using template $paramod$323fbd8da0ac5986920f0496885d4acac13656a5\$lut for cells of type $lut. Using template $paramod$f8f63b209b7230e81958663ff24fef1613156af7\$lut for cells of type $lut. Using template $paramod$f0bef4a30c0ab8325e910c7b53ed5044c4e7d707\$lut for cells of type $lut. Using template $paramod$8e1c82b304528085a78e4651c993ce9e1ef6b8a8\$lut for cells of type $lut. Using template $paramod$2754a21a217ccdc1a0cbf27b2e8b19266cadc23f\$lut for cells of type $lut. Using template $paramod$22fea57d7a456c098d9c97c3010141b9cce8b96f\$lut for cells of type $lut. Using template $paramod$03d0edf20ed1469b09ef5ea8e93986bf65c1867c\$lut for cells of type $lut. Using template $paramod$384dd8fd176e9fb45aae56ef8f5af5a6b7507981\$lut for cells of type $lut. Using template $paramod$81d8a60fd95b1a9f9ef71c12a774ae6988cb9fd5\$lut for cells of type $lut. Using template $paramod$f9b715fbf1040e81e900b2461c2390d17ed5e988\$lut for cells of type $lut. Using template $paramod$80fd3f90b6a7b38da9d25588666decbe3adaf5ec\$lut for cells of type $lut. Using template $paramod$e800d193f17c895194d1649dde3e5037bf808d28\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000111 for cells of type $lut. Using template $paramod$35d5cf238847996ccb5da25c65ad59a32e7c31aa\$lut for cells of type $lut. Using template $paramod$cb010d9d807aecdf375861b88f8530fc6b174d3a\$lut for cells of type $lut. Using template $paramod$068092ddede495d8462ffe530e6d91711913edbc\$lut for cells of type $lut. Using template $paramod$ffc2ea81a65101fbef8a332deddf112494d27163\$lut for cells of type $lut. Using template $paramod$0b8d6fc791fa7aabae7ac8a1db71a7d15d45f7f4\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10100010 for cells of type $lut. Using template $paramod$de81bb4f24bddd9c01fb4a8d2c0db4e04ac2517e\$lut for cells of type $lut. Using template $paramod$6f26f546ad655ddf775808ebf2114763796f1896\$lut for cells of type $lut. Using template $paramod$df196ed0a1da5c4a58c5e08a1dac304fd3fccaab\$lut for cells of type $lut. Using template $paramod$364c9ffbffac467d60dfec81bba4e18476c15602\$lut for cells of type $lut. Using template $paramod$f5651ff2abca4d07e0dfb50ad5504abd96162cd4\$lut for cells of type $lut. Using template $paramod$7052bb73849c84c4a3e13a9f5c8c1cfa327a857f\$lut for cells of type $lut. Using template $paramod$b419810ab1d51da1962917a1949cecc5f27935eb\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100000 for cells of type $lut. Using template $paramod$efd9db50f639c84b61057002b4119366b96c7abc\$lut for cells of type $lut. Using template $paramod$f0169807cabb208126f94e3f71552cc012e8013f\$lut for cells of type $lut. Using template $paramod$658b9ed803f0d3d335616d3858b53e0a2522f1e8\$lut for cells of type $lut. Using template $paramod$8db05cf1cb41c927f09255dfaf7f6db286e2f0df\$lut for cells of type $lut. Using template $paramod$796a976cd67711f2c509e1e9b3c47121c5427850\$lut for cells of type $lut. Using template $paramod$4813cacffce12ddd9116dd152a7f1591a368e881\$lut for cells of type $lut. Using template $paramod$6597485fc3b2961780e0943deca357e9af14cefd\$lut for cells of type $lut. Using template $paramod$08ae02f915bbd76f41c8d954a9d331c97be49f4e\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100100 for cells of type $lut. Using template $paramod$4237ec31543859d6444b0df9382030ab13f55b7e\$lut for cells of type $lut. Using template $paramod$e90f70c39fe8c2a77ab6893b67a0d7eea0763c62\$lut for cells of type $lut. Using template $paramod$6b9cd69b1e76a46d20d4fcfe7e78c87a68771565\$lut for cells of type $lut. Using template $paramod$98dbdcd471ba0a28148297d600246e9d7dd9fa99\$lut for cells of type $lut. Using template $paramod$a2f8c0f49f5179aa0ab5b87e4b39f0b9aaf82f5a\$lut for cells of type $lut. Using template $paramod$991f5fcb82fd10139056a359ffc4a67f44aea8ab\$lut for cells of type $lut. Using template $paramod$760cbd2b0865be4df85054ed8df8a4e88164e55a\$lut for cells of type $lut. Using template $paramod$add409dfa55d52acfc1ab0c502605ddb72861818\$lut for cells of type $lut. Using template $paramod$4834046533425f54583d6bd31e49deb63455e1a5\$lut for cells of type $lut. Using template $paramod$f957e63731b6910b7175e395fcb6c08138f704e0\$lut for cells of type $lut. Using template $paramod$d0a86ab8b69a23250280ad0d59372d6ddf1d0507\$lut for cells of type $lut. Using template $paramod$7ac0d693e8b843c95e28e03fd4fd6964982c85ff\$lut for cells of type $lut. Using template $paramod$a00adb5b37543b8dd0bae8bbe9f8146f98c8e8ec\$lut for cells of type $lut. Using template $paramod$f587be5dee6fb7e49a5d3ac9ec8f717822a31ea2\$lut for cells of type $lut. Using template $paramod$d3813b08e7bb29971c19859221b321b5494c6d5b\$lut for cells of type $lut. Using template $paramod$dd8f09456cc0557d76e7a209e6cf5c8b8adde891\$lut for cells of type $lut. Using template $paramod$fd3c6f7cd86ebd08275158b099051f4b085cb906\$lut for cells of type $lut. Using template $paramod$fe96455a294c9dbdb70e31c24ef727226b3d256e\$lut for cells of type $lut. Using template $paramod$f10a6fede99d2015ef64a38c23aa88b435294ac4\$lut for cells of type $lut. Using template $paramod$1ddbcf241266ae977986e192ee920313a7270063\$lut for cells of type $lut. Using template $paramod$c9b437eae7cbae92bcce00de6505397a13545859\$lut for cells of type $lut. Using template $paramod$e8323f64b74b08cb8451d9188d080e5c4db6dec0\$lut for cells of type $lut. Using template $paramod$0b200774a51ee1f213da888e8a9f1ceb81b9ba00\$lut for cells of type $lut. Using template $paramod$7a464ed51b0878b1c4469a2ebbee6e19a3ab7f18\$lut for cells of type $lut. Using template $paramod$535e6939b53e56ba32dbbc0581575c70971c1a2e\$lut for cells of type $lut. Using template $paramod$4c274551372e5e846c5a80986ee03383a4d3b57e\$lut for cells of type $lut. Using template $paramod$d21d214a5aa271f2d9da3f90f22432c0ecee130f\$lut for cells of type $lut. Using template $paramod$0cc8f16ef96f2f983514a8b400735b2a2029d6c5\$lut for cells of type $lut. Using template $paramod$da216d5db97812160cff47a7b1c65cd43181b2a8\$lut for cells of type $lut. Using template $paramod$8dc7036079d7be3e5b8905f947c0888c82aab734\$lut for cells of type $lut. Using template $paramod$01b636f2759ab594c2741266b3c22685988e291c\$lut for cells of type $lut. Using template $paramod$e27b924121af9f5acd4b0ccee1bfe645e37f74ca\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10110001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001101 for cells of type $lut. Using template $paramod$88e557ff47f35512152dcd123e39a7dd2f3f82eb\$lut for cells of type $lut. Using template $paramod$a7c07944e10969b2e1fd563a5b72f89493cb3705\$lut for cells of type $lut. Using template $paramod$47a8214374025465e226fa66bee690ff33268a25\$lut for cells of type $lut. Using template $paramod$8d64e576629e8d7c655e0c65958ea61e649cd93e\$lut for cells of type $lut. Using template $paramod$56c17e6b75008244fc881c7eb75e21c7a76da222\$lut for cells of type $lut. Using template $paramod$692c4ee85d95f8cc4959911841a85a43ebfd3f05\$lut for cells of type $lut. Using template $paramod$2387b865264d2d5dd6e9369a05ee6aefeacaedc6\$lut for cells of type $lut. Using template $paramod$272a01c2714b204037ae625f971913a9414bd247\$lut for cells of type $lut. Using template $paramod$6720635ab9307249b8ea0caf486aad8c353f2185\$lut for cells of type $lut. Using template $paramod$0c31f8674d6b730afec588864e795ceca95d08fe\$lut for cells of type $lut. Using template $paramod$43418d0e130d98c98be1b35af914e9f2e1656515\$lut for cells of type $lut. Using template $paramod$06128e38d5d86a1d3bf6aa1b58b90482b35a51ac\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011000 for cells of type $lut. Using template $paramod$039b07627574dfdff09389bd8d1f8578fe650c68\$lut for cells of type $lut. Using template $paramod$d5a9679fba7c57b2aab43e04d37389000bd8f342\$lut for cells of type $lut. Using template $paramod$1c740251f477df8cb0ebf6cde6641bf0c6d0842e\$lut for cells of type $lut. Using template $paramod$2559f5312244c24b83e079c48f39f03de230b604\$lut for cells of type $lut. Using template $paramod$d211e97fd68a0a11bc667a37034e2f1e81fab7ad\$lut for cells of type $lut. Using template $paramod$e1ab57017fe2fa0a4f5204fd9d3d44c4cfd7356d\$lut for cells of type $lut. Using template $paramod$7b4be8d87578c2c5a0c7163e1563fb1da9910167\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00011011 for cells of type $lut. Using template $paramod$127d42c61791e2b6b8fd39133e126a67cbdb52bc\$lut for cells of type $lut. Using template $paramod$ad55c0c44402400cc43bb299f86257a8ef03997c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001101 for cells of type $lut. Using template $paramod$5995d6d099b139e85f99f4dc922eeb853038f669\$lut for cells of type $lut. Using template $paramod$f4ae1079a5e3a96cd8eef1570520ce7e5541fa1d\$lut for cells of type $lut. Using template $paramod$f672f1e5ea7eaf40630014635549af40fc023a51\$lut for cells of type $lut. Using template $paramod$947a30299e18c861b23d2340674a2c4878fb3175\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1001 for cells of type $lut. Using template $paramod$b1241bb2f9028a57b5d511f41eb42255eb327e39\$lut for cells of type $lut. Using template $paramod$fa1bc013dab31867789c1096d6d59bce2e7c3f05\$lut for cells of type $lut. Using template $paramod$f3d649e8076d16e16e181b135cf4011cee04a458\$lut for cells of type $lut. Using template $paramod$a3dffad160f5d5b1cb2d01bbcb9ade4f6319b205\$lut for cells of type $lut. Using template $paramod$5cbebf62df77cff3b61c5edda84c347901bd9b86\$lut for cells of type $lut. Using template $paramod$920abf267d9507ec539d92b62f266b4d3fa510e2\$lut for cells of type $lut. Using template $paramod$1a2e5f2227a3e5d53b7d333ddd22536dedcd0993\$lut for cells of type $lut. Using template $paramod$8cd1a3d4b048892dabe8ceb77b7ac412acf7a312\$lut for cells of type $lut. Using template $paramod$a7e4290d3deb90cb0f9b493ac5a426497c2a4c91\$lut for cells of type $lut. Using template $paramod$fc529edbc71a004b65c9955f02c9b8bcfef67f84\$lut for cells of type $lut. Using template $paramod$ee3ba3939f6ccdb74bf420a252d58cdb86511937\$lut for cells of type $lut. Using template $paramod$d1112868f3c2119f6b3f85367550d338d78a7334\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01100000 for cells of type $lut. Using template $paramod$f5f41ee5d60dede31a2b59f58ec46b167939d713\$lut for cells of type $lut. Using template $paramod$332530260df33f1e6567b344a898a29636fd4f0f\$lut for cells of type $lut. Using template $paramod$e9b58d4a396b290b2b63c31302180a5241fd8c80\$lut for cells of type $lut. Using template $paramod$f9e6b8c8bbaa4b164a91075c553341d15958d0ba\$lut for cells of type $lut. Using template $paramod$fc6650e7502dbeab67caeb744756ff0382709648\$lut for cells of type $lut. Using template $paramod$9e394303e290a474880b56f98766417009256d93\$lut for cells of type $lut. Using template $paramod$33ec6b2157c08df9afa3c3b82fa9a1bc2e738f00\$lut for cells of type $lut. Using template $paramod$62995d2473c2bbe33c20342d20fee08d278082b7\$lut for cells of type $lut. Using template $paramod$22ab56550807ac1ca0030e7dda21f0acdae3746b\$lut for cells of type $lut. Using template $paramod$ad823946862e656cf7f96d606b18b8f972dc6d6c\$lut for cells of type $lut. Using template $paramod$18e2379e2aec38ed565db4903225ff2416bc4c04\$lut for cells of type $lut. Using template $paramod$d73dfa3b88157603e114816c5374568d1760ceff\$lut for cells of type $lut. Using template $paramod$df29fe9e6d6d694a9cc5697e4251bf7d8cd4d8e4\$lut for cells of type $lut. Using template $paramod$073728d9b3f30a89d7fdf1ac690fca8ed16721ba\$lut for cells of type $lut. Using template $paramod$0be37fab31b75f4ac3c421db2a98ae8bf83a65bf\$lut for cells of type $lut. Using template $paramod$4210e9a5154407adafc9a62b440e561b3e0d5bd3\$lut for cells of type $lut. Using template $paramod$35161918b92fc22c641ee6415c52d6dfd76a8a67\$lut for cells of type $lut. Using template $paramod$577f6b33df787137f4b90a9e4e5b34ba8a5accc0\$lut for cells of type $lut. Using template $paramod$78303584899bc4c2875807ac3ce80b6f3b4c7268\$lut for cells of type $lut. Using template $paramod$3702268f692b8bf258e428f65d3bca4e1f76d98b\$lut for cells of type $lut. Using template $paramod$cf652acbfbf67d2248e3045cd0f09c58ca55886c\$lut for cells of type $lut. Using template $paramod$17fd36fab32bce162e5828682c2ba9fa7f9e273b\$lut for cells of type $lut. Using template $paramod$7eab9f11ffd6f8a4fdc230bc363623954e15c1ce\$lut for cells of type $lut. Using template $paramod$4e0dac06d9d9602cfb659e01e0850b77eec5b798\$lut for cells of type $lut. Using template $paramod$c1aaab2d8cf56f161a7adb4afa88ce9ea1cf21a3\$lut for cells of type $lut. Using template $paramod$83c1b6108170249166239e09804c5f4542556524\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111110 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001000 for cells of type $lut. Using template $paramod$ab8bb87959c5d7cfa27886cee1355b38e054a61a\$lut for cells of type $lut. Using template $paramod$7991e43c533565df3969b82a304afcde859daeba\$lut for cells of type $lut. Using template $paramod$eabde4761c91679426ef5401ae1b2c95bf56e107\$lut for cells of type $lut. Using template $paramod$b2c642640399e37c00937343837ba8601685aa50\$lut for cells of type $lut. Using template $paramod$49a3f6ab8f8346cf2ace3353f08779649f8e62e8\$lut for cells of type $lut. Using template $paramod$db08fd84fb3c4d6a41eaec6adfffe445fb7eb17f\$lut for cells of type $lut. Using template $paramod$9a6dd4ade5155328254b56c204046aa5d03d4faf\$lut for cells of type $lut. Using template $paramod$4d7dc822e6ac78c7574e16060f5e26124cddca40\$lut for cells of type $lut. Using template $paramod$2296a078c83a74764f2c15dfb022549bf4f8111d\$lut for cells of type $lut. Using template $paramod$61bec8d2d64e8b74635366721fd79dfbba685371\$lut for cells of type $lut. Using template $paramod$e5f53fb2cb3e702c9422ebddd3ba952e5a8f3401\$lut for cells of type $lut. Using template $paramod$b0a1a60564bf9a70cd83ff82c322d8476b608c8d\$lut for cells of type $lut. Using template $paramod$0817ba1bb76015d86d1f03b22a80e18f505980d8\$lut for cells of type $lut. Using template $paramod$8e3958c0721c76bb2ff944f8512e31568d71d6f3\$lut for cells of type $lut. Using template $paramod$32218055f2504e562f91aae196e4a7b00a3db31a\$lut for cells of type $lut. Using template $paramod$139c9fa7a01ed15b5cc5730e30bcba5566f509e8\$lut for cells of type $lut. Using template $paramod$d35161d1d7976dcc02e7c7d51172431be85143b4\$lut for cells of type $lut. Using template $paramod$410654a338494d4983a424035c9c9af4ae257a26\$lut for cells of type $lut. Using template $paramod$a5516fc31d1e552de2435200bb732b4d4ad63a9c\$lut for cells of type $lut. Using template $paramod$145f6f04e3a684c6d387dad591f979ca338fcf58\$lut for cells of type $lut. Using template $paramod$234997bee759301806c8ada31f0c044884c8f8c5\$lut for cells of type $lut. Using template $paramod$4957e7947e00519ed800c987fef6a058dbdeb406\$lut for cells of type $lut. Using template $paramod$7dad2285b6a41fd718a0863efc009b14ae7d121a\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110010 for cells of type $lut. Using template $paramod$c4fe0d52e4fa3d649d75cb9587992cb08e44f263\$lut for cells of type $lut. Using template $paramod$7d15e0d25343b2c58489458f2d79b08b75d4068b\$lut for cells of type $lut. Using template $paramod$79b9e3b5b4d5055d8f7b4df884a3d9fa422809b9\$lut for cells of type $lut. Using template $paramod$4c36d2fb9fbddf617429a00157db81c8b392db87\$lut for cells of type $lut. Using template $paramod$026396fc2b5007abfc8e331cbf1475e675cd73e4\$lut for cells of type $lut. Using template $paramod$ce2eafd1bd5f282705bf1a38722cd7fc835412ab\$lut for cells of type $lut. Using template $paramod$4b23d751b3e1d7cde9cd1766bf20ceee12e38a3d\$lut for cells of type $lut. Using template $paramod$f5c5b56521a6811444a94cf8aec11258bf0a108d\$lut for cells of type $lut. Using template $paramod$62fc4ac57a0b73f1d95465f30f5df060addcd3ec\$lut for cells of type $lut. Using template $paramod$37c9af120c85145419565a9ccf4ceb7397fbbe92\$lut for cells of type $lut. Using template $paramod$c96490ea53f143e0d338d5eb28ea3f028342a691\$lut for cells of type $lut. Using template $paramod$3788ff3df39f307dca2f01416587cc1bbb1f1663\$lut for cells of type $lut. Using template $paramod$c0875cf41eda4c3d973943d936a3cafee6b9777d\$lut for cells of type $lut. Using template $paramod$fb4ed309581bcd972f41afa8566ae8b9812c7f6c\$lut for cells of type $lut. Using template $paramod$8b5edd505331ea1322066a97b8d3ee73c26bc941\$lut for cells of type $lut. Using template $paramod$20992234025039442418baf0b059929e088ee3db\$lut for cells of type $lut. Using template $paramod$103d07d324e72f47360740c0b5e9682c5561e9f1\$lut for cells of type $lut. Using template $paramod$849d013d096d73269ca4beb768f8e399745d37f2\$lut for cells of type $lut. Using template $paramod$f5005de741e2abeaf63e8deb2373e5e66441a56d\$lut for cells of type $lut. Using template $paramod$945973007634a6e187a1aa1ebd8842fe6cd9c9c8\$lut for cells of type $lut. Using template $paramod$1f8e4fcb18669db585d8f85bc4f8a45c7868e286\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001000 for cells of type $lut. Using template $paramod$3f943b31daf852ed1ca222e5bb6488e4bbd6a0e6\$lut for cells of type $lut. Using template $paramod$79a5f4f6c85f6353a05008626bbb50a513afc30e\$lut for cells of type $lut. Using template $paramod$2cad89bb1218fdf503886ba9a43bb5afcb84ecf0\$lut for cells of type $lut. Using template $paramod$284c7e37f40afc008c3d801911839b17949bd30b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000001 for cells of type $lut. Using template $paramod$c758cf8aee7c6f51f0f08a610e549e87b7c5efaf\$lut for cells of type $lut. Using template $paramod$571895341b084d34c7674b4aaa647bcb4272d4c8\$lut for cells of type $lut. Using template $paramod$d4ca4a6dde47bb5517aa4cebb821b1ab614939cb\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001110 for cells of type $lut. Using template $paramod$12979e0dcd68949e1a22a00f51c9027f2ebf6ace\$lut for cells of type $lut. Using template $paramod$1a12a102768dfea553323390c7144275cddbf446\$lut for cells of type $lut. Using template $paramod$6fea28d3798858e383477890759f7531753013cc\$lut for cells of type $lut. Using template $paramod$8d7a8d6e3356de09670738ba85f2c6b874f6b06d\$lut for cells of type $lut. Using template $paramod$857512ea84a5fe5464efcd374b77666399ea78e1\$lut for cells of type $lut. Using template $paramod$166cf715efc1df7067d016845ac3b08a3b3bfe5f\$lut for cells of type $lut. Using template $paramod$5bc4b34d04423f5c10a1f36d396093524460bd02\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10010000 for cells of type $lut. Using template $paramod$8e48ebe4cc766ebdd82a0dc7b7ac7db7cdcaccc9\$lut for cells of type $lut. Using template $paramod$f7cbd8f5974233f70d25c33ef6a692898e4f6377\$lut for cells of type $lut. Using template $paramod$5da53d74af46512b5042651aedaf37a726a041fd\$lut for cells of type $lut. Using template $paramod$50099cb34ae8aac9bb15799e5561a25960413dcd\$lut for cells of type $lut. Using template $paramod$8512f4fb47fa9596f76cdbe5b407a5b54df368e7\$lut for cells of type $lut. Using template $paramod$afddf753bc06379024ae15bcee2a9be2a1e56428\$lut for cells of type $lut. Using template $paramod$8b16f299a851b69ee5e760e5b818281d3215ccdb\$lut for cells of type $lut. Using template $paramod$e3e4230bb990723642112b292aa705ee0cbad0d4\$lut for cells of type $lut. Using template $paramod$f45429e380905f064bb0bad3a8bdb941708e63a7\$lut for cells of type $lut. Using template $paramod$3a2d5a98ab1592b96e36a874f2893a88b535b517\$lut for cells of type $lut. Using template $paramod$cf8f192c447f8a9975244f6a0c4a21a606127a3b\$lut for cells of type $lut. Using template $paramod$2ec6422db00d358fc7469efce6208bffbc8521cd\$lut for cells of type $lut. Using template $paramod$47344154445d31839a9c6b6b9a30e994f36464b9\$lut for cells of type $lut. Using template $paramod$274efab3954656c2a8168060f6b9e4312cdb3b86\$lut for cells of type $lut. Using template $paramod$60bea418525814d428e9ef2a082929e63d5be749\$lut for cells of type $lut. Using template $paramod$be53a2a0d4554a61c90fe717258511f734ad6581\$lut for cells of type $lut. Using template $paramod$ab2d8c2b9d8aa7f76721394c261b87284f763090\$lut for cells of type $lut. Using template $paramod$23f0d89bc708f98577c6ac14ea9d0262ecda25bf\$lut for cells of type $lut. Using template $paramod$f13784ede300b12a5285177c86c7721a54cf9e12\$lut for cells of type $lut. Using template $paramod$af13ed14a27166d53aa4f400266a62e005ccb583\$lut for cells of type $lut. Using template $paramod$d315acbf930db7c20b3f4ac2dad3b7982b1f437c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01111111 for cells of type $lut. Using template $paramod$6b0849254d6c87461fb93e37cc18f089f61eb912\$lut for cells of type $lut. Using template $paramod$eba7de026ff587370e320127e266317dae097a89\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111000 for cells of type $lut. Using template $paramod$b86b68a00733dbecb31d58a14a13683475a2002a\$lut for cells of type $lut. Using template $paramod$65d5d5c1e01bf41ee659754efba932f3d99198e5\$lut for cells of type $lut. Using template $paramod$43779580bfffd5d5a9f321249a174febf1dac288\$lut for cells of type $lut. Using template $paramod$992bdc10cff2c6edd722994f0e1044bc863f79f7\$lut for cells of type $lut. Using template $paramod$2d07c1a6c53c7b878509360922c4fa5ebedc3011\$lut for cells of type $lut. Using template $paramod$2382b0dd4cb27fd4312681c40a6dd179c2a7a26a\$lut for cells of type $lut. Using template $paramod$a9ba23df824f693c44e722629fd8c1fae157385c\$lut for cells of type $lut. Using template $paramod$30305e55a780880b9c824fe3509a4d981acb0f2b\$lut for cells of type $lut. Using template $paramod$e54349d9a634ecff5f53629ed023a0262d334efb\$lut for cells of type $lut. Using template $paramod$101238f3d8d49ab12a9b49a2f01cd503b26e9c61\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1110 for cells of type $lut. Using template $paramod$e51a8a571bee774247b38f52d6e85fd62ae52cea\$lut for cells of type $lut. Using template $paramod$6e46ec5a196ba1a24b8e69ab094cadc07c13ac1f\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010101 for cells of type $lut. Using template $paramod$bf3bbc54100a63f1252f3b9824fcdf9d0b05b126\$lut for cells of type $lut. Using template $paramod$e8b1383c6901b56df73ac402d78a5e0a42461be0\$lut for cells of type $lut. Using template $paramod$5e9374f44a27c3f8a1c38af244ec43ceb4fb8d4f\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000001\LUT=2'01 for cells of type $lut. Using template $paramod$5348912da867a611a8088b6b8b27a62d65f1de6e\$lut for cells of type $lut. Using template $paramod$84c5cfa8d7481774250caab0fcdd6d249a376a31\$lut for cells of type $lut. Using template $paramod$cae86cc3087f0ba749b437cbf8d16c8515959860\$lut for cells of type $lut. Using template $paramod$2ae22ed255cc0f3746c71b5da2407ee38a2a66e6\$lut for cells of type $lut. Using template $paramod$b45308ffeb4031bc5d55ef31b149afd94d3d7565\$lut for cells of type $lut. Using template $paramod$d6ca727e39f31d51d29072e0f33aa09c65e37336\$lut for cells of type $lut. Using template $paramod$46969734f619307bdfb8ca4ad5af273b11115f8d\$lut for cells of type $lut. Using template $paramod$c214b4f4a9031361a7ff4859158ca8c9d48de37d\$lut for cells of type $lut. Using template $paramod$0d26e42822227428593a6f2ed183ae9b22d4b575\$lut for cells of type $lut. Using template $paramod$7fed74f2cef975f9d09dcb0a10cb49d92a5c6372\$lut for cells of type $lut. Using template $paramod$e85adda8ca03c59dd705bd345f58957b5394296c\$lut for cells of type $lut. Using template $paramod$5afe21d6fdc7c33aeb338fdb508ea02813207bfd\$lut for cells of type $lut. Using template $paramod$779ce1856232fd2857259a50e6832c67ad66128e\$lut for cells of type $lut. Using template $paramod$d7ec878ecfa8f5f7604d3e91692b5d4c2ee758ad\$lut for cells of type $lut. Using template $paramod$db4421b8cd8e111f0252332f4864a714ac72aaf0\$lut for cells of type $lut. Using template $paramod$200337237619ba4c0bed9a492562f1d1b57fb569\$lut for cells of type $lut. Using template $paramod$9ea34eb01f9b75f8b9132856d9e5332a707924f2\$lut for cells of type $lut. Using template $paramod$fca001e3e0b52158a872e76e56c01ec10dfbb1de\$lut for cells of type $lut. Using template $paramod$66caeb00a39d236782a97659e3bd99621b74681b\$lut for cells of type $lut. Using template $paramod$ddace04fba544e6adc4cdda6a50048ddd7c111af\$lut for cells of type $lut. Using template $paramod$f85f1073c412d406200a6a72283f918c8b751314\$lut for cells of type $lut. Using template $paramod$d79e8c7f0cb3bd049a34d82ec5fe688d444e5a52\$lut for cells of type $lut. Using template $paramod$a47d3f6fd9a7aebdb1b556bc977da3380a17c8cf\$lut for cells of type $lut. Using template $paramod$edc5a73130589b9210f4bdf92e14bdcacac8945d\$lut for cells of type $lut. Using template $paramod$2de23df76a24087ecc0fa38a78ecc970cd3f2492\$lut for cells of type $lut. Using template $paramod$fe9a0158d0352193457c4f5b6282ac86d35fb3ee\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1011 for cells of type $lut. Using template $paramod$179512a187da069f3b79ef6612a41e494e7d54b6\$lut for cells of type $lut. Using template $paramod$2645ed3928f2726e8ccb403cb23252de43b617d7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001111 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111000 for cells of type $lut. Using template $paramod$076deb7b432146fc56a2825020bc1b0504424a0f\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0111 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10100011 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00111010 for cells of type $lut. Using template $paramod$5902906301514119c4dfc3edda247092b16ab7fc\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10110000 for cells of type $lut. Using template $paramod$baa939b0bd5b3e0c8760492528669bd58f640542\$lut for cells of type $lut. Using template $paramod$a670b08a47dd8a34f954c50cd06e9996d77e8467\$lut for cells of type $lut. Using template $paramod$a743caf801766df40bcc22d49baa12a0bdc2f7fe\$lut for cells of type $lut. Using template $paramod$be48d952fcad8a16b8d84daa4c48a3065f343e5e\$lut for cells of type $lut. Using template $paramod$b009a26b33c3ca109c016cf968a774c0d66687bb\$lut for cells of type $lut. Using template $paramod$fbed19fb84ee7c8a884778d28a96daea96245184\$lut for cells of type $lut. Using template $paramod$06e62c2045624c211a1abe4f2f36c8f22c688165\$lut for cells of type $lut. Using template $paramod$41326ad8644342a66dfb051d050f2b6fbf15015b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11000100 for cells of type $lut. Using template $paramod$9c65fdfac74256c2eb67dd209b598e25d1f0a099\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001100 for cells of type $lut. Using template $paramod$7a0b348a7069d0c8f44afe945e212fcf3f3fd64c\$lut for cells of type $lut. Using template $paramod$9bdc414229f06e785dc8fd97a243faa9336e164a\$lut for cells of type $lut. Using template $paramod$3ea99bfdc0d0c9ae794d095dc57bc60fc9143546\$lut for cells of type $lut. Using template $paramod$a84e932dce52d6220d55bd8bd6248d5cfd63a20c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001110 for cells of type $lut. Using template $paramod$3c5eb16fa418cfbbe1710d24d17e7d0b5448c3c1\$lut for cells of type $lut. Using template $paramod$097592bb16245531f0716c5ddb18d7090f9c7d9d\$lut for cells of type $lut. Using template $paramod$47671b68495b53d6eea5a9dd67c114907e17980b\$lut for cells of type $lut. Using template $paramod$d5c7dda3e544463bf43ed73dadb51262f5dcf2fe\$lut for cells of type $lut. Using template $paramod$27e1377ae4679bc1a4d2ec6bc78c1fe4870fcf57\$lut for cells of type $lut. Using template $paramod$7e3d8ac009723e554811ad53385162c0e6a41625\$lut for cells of type $lut. Using template $paramod$2ea69c779d6c1b79ac5a87b0d1523c67d5628dba\$lut for cells of type $lut. Using template $paramod$e134ec2a47a2462a591072e65d34fb15b81c90e0\$lut for cells of type $lut. Using template $paramod$ffbdf3001f0d2972a014e8e8948b59dcda97f633\$lut for cells of type $lut. Using template $paramod$dcba541ad53a9873d71bfba6c13dc2a8e2a60a79\$lut for cells of type $lut. Using template $paramod$b2192df6f90569fea4015d0a6658bdc192199f95\$lut for cells of type $lut. Using template $paramod$ea2ed7b6000d8bc7d418a28d22dd562f94afdeff\$lut for cells of type $lut. Using template $paramod$c50bf79556f7c35c37bbd3d892f752a0609f21ca\$lut for cells of type $lut. Using template $paramod$ba7c22fadfbf9ee7abcb895a21403114111dd201\$lut for cells of type $lut. Using template $paramod$5f3abb125a0361a143f12eec230ed33f6f988a00\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100001 for cells of type $lut. Using template $paramod$9640380942618015231dc80e07fe9e6281ac216a\$lut for cells of type $lut. Using template $paramod$4bf8ce4ba3837f34813021ea7ba48081e9887a3e\$lut for cells of type $lut. Using template $paramod$80bc945f6d438f16387422ec284dc12b4bb4e68f\$lut for cells of type $lut. Using template $paramod$1149f7f575bfd8fe17c313920658237857838658\$lut for cells of type $lut. Using template $paramod$12fb017f90e7463fe74789d2ec23494cce2be24a\$lut for cells of type $lut. Using template $paramod$0194c0078616f389ecfa855718b2074839b66531\$lut for cells of type $lut. Using template $paramod$eab8c2e20ad6848564bec45c7148558972138f5b\$lut for cells of type $lut. Using template $paramod$4888f2121a1fba4d507203534ae54782bc81e02e\$lut for cells of type $lut. Using template $paramod$2868ddb1abe7777b006adf7ea2d56cb74ec17821\$lut for cells of type $lut. Using template $paramod$891d17c049ef97ffbed57a5d4edf3f9e83d4f776\$lut for cells of type $lut. Using template $paramod$086937f2e69afb7c662e45e33f5a7616aa818da8\$lut for cells of type $lut. Using template $paramod$973818279bc95792902f3c09371fd2407d04a2a5\$lut for cells of type $lut. Using template $paramod$d750041ede21fd9873becb06293199fd1fbc9a7e\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000110 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001100 for cells of type $lut. Using template $paramod$59f2a3e232df3029c8bc36978b9bbe72a71dfb5a\$lut for cells of type $lut. Using template $paramod$18b66a2dc66be2a0d172c3d50ba03932f5924e22\$lut for cells of type $lut. Using template $paramod$9b9a8093a6dcd18218f425577281108937857802\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1101 for cells of type $lut. Using template $paramod$82b4a585d1edcb5c6e755dc9bd3392228a1c1304\$lut for cells of type $lut. Using template $paramod$ee04a7397c7e60ad1a187fa314ed449c24407f97\$lut for cells of type $lut. Using template $paramod$3b56205e0e57b3ea26d80fc7983017f83663129e\$lut for cells of type $lut. Using template $paramod$fc318a7df7fe07fd6e06d67fcbc358e9823ea389\$lut for cells of type $lut. Using template $paramod$b4f85a6321a00b090afc4e21d68e7b99eb94d149\$lut for cells of type $lut. Using template $paramod$78b4324556f6321a85bd440441a5392f271ea218\$lut for cells of type $lut. Using template $paramod$8e44661def013b6bf9fe6f8b049ef2c838d749f9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001010 for cells of type $lut. Using template $paramod$52ea79e82f4f149ce225b8ba6e2cb42b2ab2d0c0\$lut for cells of type $lut. Using template $paramod$0d5e420ccfc2dddc13533c0817d1e17e68a2c136\$lut for cells of type $lut. Using template $paramod$5afdc7428159757eedf89ce514f7efa32b31c8e7\$lut for cells of type $lut. Using template $paramod$1b53a9695a0f80de7517b50863b438fd2b7f56da\$lut for cells of type $lut. Using template $paramod$fad7aa7902a79825c4770e983cfb8bebfb344919\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101000 for cells of type $lut. Using template $paramod$6be53ab59e0a69757fc32adb071ddcb64e8c87b6\$lut for cells of type $lut. Using template $paramod$413d040dcd860cd74ca61a70644516a95d328ae7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010100 for cells of type $lut. Using template $paramod$cbb2dfe31d344d3326d567c2ed5a4b2a29f63219\$lut for cells of type $lut. Using template $paramod$f0ee8f1fac2e8dfb2b7c2829afefa281d87aa802\$lut for cells of type $lut. Using template $paramod$c145f84e6b9aba2d7bbf6cd4a827e636b5fa3ae0\$lut for cells of type $lut. Using template $paramod$625b44527a6320960a991295842340eeca84d995\$lut for cells of type $lut. Using template $paramod$b7ffccfabd6e7ca08ce1262cc41379da0e08bf9a\$lut for cells of type $lut. Using template $paramod$bf0916c6d7935eef0257c8c924841f67bcefce14\$lut for cells of type $lut. Using template $paramod$5c6d01824df27a97c3776b3694e8814e23c197cd\$lut for cells of type $lut. Using template $paramod$4245bf22651c715b5c69e57b6d6b6c188bdf2c1b\$lut for cells of type $lut. Using template $paramod$12e9049d8709286a770fe60b59ec4d94c39ce3c9\$lut for cells of type $lut. Using template $paramod$ede67ae6159d4864b11272c4fe0692c3419120cd\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10010011 for cells of type $lut. Using template $paramod$23764ef6208c0eba4ffe4afe904943e1ed80e8a4\$lut for cells of type $lut. Using template $paramod$2e9afba29670cc6475874639e7c1b3979c8ebde3\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00011101 for cells of type $lut. Using template $paramod$3d7168c8134c4765b84a7b86d5ef7e1e65bbf4a0\$lut for cells of type $lut. Using template $paramod$ffd1825386f8c6bdd61e2b8b42e648320d55fb4c\$lut for cells of type $lut. Using template $paramod$7d35f3eb4056e6484203c99fe42cfcf1dfaba704\$lut for cells of type $lut. Using template $paramod$d25a0f1ed4a99ef8d1bf6a91b3015ece3e01714b\$lut for cells of type $lut. Using template $paramod$b7792e1962fb8b65865c48433cacb9941e24b485\$lut for cells of type $lut. Using template $paramod$68333ba85565e16101ab8af69fddc9a0d05bfda5\$lut for cells of type $lut. Using template $paramod$e62442126c3ec4db4ea7200e579fef7f8acaa783\$lut for cells of type $lut. Using template $paramod$4a5cb9a6822416135c3ccf2a28bcf23a857f7920\$lut for cells of type $lut. Using template $paramod$90dc599eed99da511e64ad217d69e7ff2c1e56cc\$lut for cells of type $lut. Using template $paramod$8eb9acb53b9a2a4286f94cea69fcf759806384fc\$lut for cells of type $lut. Using template $paramod$f546bd96bcec6e3bf1b78bdea64b0f5bbbaff6df\$lut for cells of type $lut. Using template $paramod$91d6743ceb0f093b57d242b538f7f23d2346d4c9\$lut for cells of type $lut. Using template $paramod$32abbd1d449a67fb913b4733374e345d4c17175b\$lut for cells of type $lut. Using template $paramod$9a383ca297ef012b6f33ce559547f89432250d88\$lut for cells of type $lut. Using template $paramod$25003f26a78bb2f583f23824f1e0b8cc16b88761\$lut for cells of type $lut. Using template $paramod$7ebd053006fefd5a4368bea803813a6c7860a94a\$lut for cells of type $lut. Using template $paramod$849eede967b3c8935808391f1a9ce50503aa897a\$lut for cells of type $lut. Using template $paramod$a6e23494019bebb202ca142cebd4eaee4630f3d7\$lut for cells of type $lut. Using template $paramod$0edeb36cb64579a0f5c1bd83ad3dcf944d359318\$lut for cells of type $lut. Using template $paramod$ecc069ca9fcf74b6bef98c0ae2bb6d920c8aa107\$lut for cells of type $lut. Using template $paramod$d8905128edf1384eccfd1b9f150a88db7c8175f1\$lut for cells of type $lut. Using template $paramod$22641603d8fa727856e499ecf3ff9bfa826a5891\$lut for cells of type $lut. Using template $paramod$e496e1ebaf8968b0448781d0c7b4fab8e5e7c961\$lut for cells of type $lut. Using template $paramod$beae4210b922fc9ba2fcc4008a7474b475e38c50\$lut for cells of type $lut. Using template $paramod$373d637619c29cb9150902df9528107e5f3b8288\$lut for cells of type $lut. Using template $paramod$9ae0f136c9ed34a2deb323e9b2a3a520eea61514\$lut for cells of type $lut. Using template $paramod$eaea85d27cc0950ed001348e061727a194f5cf9c\$lut for cells of type $lut. Using template $paramod$bba54c1ef87367812b4c15f4aed5ac70773df775\$lut for cells of type $lut. Using template $paramod$3a0a392069bc969f34c65c546a8c56fbbb67e282\$lut for cells of type $lut. Using template $paramod$9cc51547ab44a72dd506ee5bb84a864365a103da\$lut for cells of type $lut. Using template $paramod$099af7f70fcc70b41da4ec1f8df6dd0abf473cb5\$lut for cells of type $lut. Using template $paramod$c08a774c89ef1ea6ee2ef4d8c3b071eb141d4259\$lut for cells of type $lut. Using template $paramod$7e8d331d1e06632d29fbdf6c3afc2de1856d3c67\$lut for cells of type $lut. Using template $paramod$653ed1fca2cbea6092fc92115114dddd9158d22d\$lut for cells of type $lut. Using template $paramod$219b71aec9a19e7a27754ed85a7d6cdad9e5ec96\$lut for cells of type $lut. Using template $paramod$071ef78ef050a8e3f1c7fc362b575932ee043820\$lut for cells of type $lut. Using template $paramod$c4fd8ed8a3f2fa4b44e4f56ed8c119b29df21157\$lut for cells of type $lut. Using template $paramod$920047306c7e9512acd5e0ca102dcb7215ec1f22\$lut for cells of type $lut. Using template $paramod$daa7472b95cb1878c5a9143b00c17cb617d72006\$lut for cells of type $lut. Using template $paramod$eea99bc84cf5347c20723d7c9448a7e739d98164\$lut for cells of type $lut. Using template $paramod$faba0cf60f1c89602d59ba2d491152c8f0d36384\$lut for cells of type $lut. Using template $paramod$94ac66a11090dca84889e55fcf03297912a5b7ec\$lut for cells of type $lut. Using template $paramod$baa9d2fb2d21010939721b85aa9f11effe0b53c4\$lut for cells of type $lut. Using template $paramod$3ec83cc0e0ec241030d7c40596e80d62c44c0f57\$lut for cells of type $lut. Using template $paramod$11ec7271d8e6e5aeaace08c13e4c601f10e31038\$lut for cells of type $lut. Using template $paramod$c52825d0b1a0cfc6362b36af6d13149a97d3e424\$lut for cells of type $lut. Using template $paramod$ed9408d4bda02f896d4134eaaf7daf588af5dc11\$lut for cells of type $lut. Using template $paramod$3510de161f06d3d049e016f6f35a4e0f06207e46\$lut for cells of type $lut. Using template $paramod$fe0ec6cf52a74b8e9115c7ff11adb77b1122b4e4\$lut for cells of type $lut. Using template $paramod$f448042cbf43e478e93408e5e83c7e8fa9872fe6\$lut for cells of type $lut. Using template $paramod$2d70e360329f2b83357618532825d0cf30a325f3\$lut for cells of type $lut. Using template $paramod$a8b2b0f3a3fd7b01c99e8d61bb72f602bd41af54\$lut for cells of type $lut. Using template $paramod$b587e1dcd8f8a9800d395e4aeecac52c55d6f585\$lut for cells of type $lut. Using template $paramod$b615004ebff9228145b881021ca021846a7f9002\$lut for cells of type $lut. Using template $paramod$0b882155407a550c277c1350c62de1e07016a18c\$lut for cells of type $lut. Using template $paramod$ee01f8aaa86cdcd4b779fec0dec9c9062f5b2128\$lut for cells of type $lut. Using template $paramod$5e8c689a169b3465ab52165da093a277a7650bb8\$lut for cells of type $lut. Using template $paramod$e4857636d35dc9b5293045a985a317a436a4713f\$lut for cells of type $lut. Using template $paramod$707701b498a5cd123a043548b93e61e0b6bdc440\$lut for cells of type $lut. Using template $paramod$865395c0228487a64a8e4011cecafc2c64b79f2b\$lut for cells of type $lut. Using template $paramod$3e672ed4d74fb09d1bbc1e2b7e987d5fc7d32e5b\$lut for cells of type $lut. Using template $paramod$f7a897257decedfb6cc642e53d65fef7fc0df390\$lut for cells of type $lut. Using template $paramod$7da7d7bde408365fd9edb48231a23e665dbb7ed8\$lut for cells of type $lut. Using template $paramod$74c0f3179b5cebe485563ea55e9b637e7ee5c0c3\$lut for cells of type $lut. Using template $paramod$8925aba7894ac7ccf9ac7c221fef926db9623020\$lut for cells of type $lut. Using template $paramod$15dcd80d72f8269cefeea2cbc86007d3271f025d\$lut for cells of type $lut. Using template $paramod$98dd5f38e0117c44d93d8e3812b44b0b0edd2ec0\$lut for cells of type $lut. Using template $paramod$a1d323730045824cfc84bb9f4ee8031f1c4dcc9e\$lut for cells of type $lut. Using template $paramod$f3e1547c4b47e64c590e75cf09078b2507c8cc75\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100111 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111111 for cells of type $lut. Using template $paramod$74f35093518940ae5f880ca1834075cef68544d0\$lut for cells of type $lut. Using template $paramod$221832ea6a41a3208cd6f3411a952b5811695f4c\$lut for cells of type $lut. Using template $paramod$8f19f471a73b122baaa4b618d53133f7d68a9c61\$lut for cells of type $lut. Using template $paramod$3b013216d6c8b5b177ea79de7803f6bb4c74e84b\$lut for cells of type $lut. Using template $paramod$6fb487e03b9eb2189ab4deaeea6214b936634463\$lut for cells of type $lut. Using template $paramod$ef1cc14162296fd4d0d07385991da6aec0946444\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0110 for cells of type $lut. Using template $paramod$18df3812bc12364e5ebcb6c3ed05c0294e4c26fc\$lut for cells of type $lut. Using template $paramod$e49f6e3576ef1a6d2f58c54414dbb786af8cc869\$lut for cells of type $lut. Using template $paramod$9cf976b4f3a576aa2cd6b51304cf5de7fc836fbd\$lut for cells of type $lut. Using template $paramod$6ae72ebceee9d2ac30ca3f7fe78463aa64c829f1\$lut for cells of type $lut. Using template $paramod$cad45b6c9da81941161a13849773fe2ed4bc1c6f\$lut for cells of type $lut. Using template $paramod$64f43dc318f4c77b4186c3ebcba0aa7863e84359\$lut for cells of type $lut. Using template $paramod$712505941a295086314c22735153725461a87f4a\$lut for cells of type $lut. Using template $paramod$16b79a5eba6e0c11d0283a95b16674705257a0eb\$lut for cells of type $lut. Using template $paramod$e144b215bcd18129bc79dba4ab66871fc4e63076\$lut for cells of type $lut. Using template $paramod$b20b44b7ecee5be956e2b152bbe403b0db4146a7\$lut for cells of type $lut. Using template $paramod$0d32e36bab4cd3e24dbcc94204821aa7f75d106e\$lut for cells of type $lut. Using template $paramod$f52df4b90f46c2ab9e801e1f39516c9cb1bb6ae7\$lut for cells of type $lut. Using template $paramod$40c0704488bfccd0953aa85a1fac93290c3e26ca\$lut for cells of type $lut. Using template $paramod$7ea76a6234c0eb3d7fb4a8ed8a4aa074aef60e52\$lut for cells of type $lut. Using template $paramod$fcac008398064bb4f6754558f87b1cf6d4a4d2ff\$lut for cells of type $lut. Using template $paramod$fa8c34f9d7d37dcbba0529fd8beb339f3024d545\$lut for cells of type $lut. Using template $paramod$018d71a0fe325d6362687fe53ac13dd6340e400d\$lut for cells of type $lut. Using template $paramod$e098d38d00670bf1f66f3fff32b3e8f0f799bc39\$lut for cells of type $lut. Using template $paramod$2a4b250d89be3556c74aa0e719a4f6242369d42f\$lut for cells of type $lut. Using template $paramod$9e354de8d358bf081aa0c089488ea3bc5b7c2fd9\$lut for cells of type $lut. Using template $paramod$07b1b12ce0305f55108770e958fd02caedfebdf8\$lut for cells of type $lut. Using template $paramod$81c2e473ba00a9cfa3dfe1d42c1fabf3cd269a21\$lut for cells of type $lut. Using template $paramod$c7017ce6f918370601990fdcd7ae7caf301de017\$lut for cells of type $lut. Using template $paramod$9ab6f26069edb5825a344e47a0d082450ffaf887\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101111 for cells of type $lut. Using template $paramod$f23433e0a993f1df8dedca092e511523ae05225b\$lut for cells of type $lut. Using template $paramod$17cdce4ced7b7d2009deb8784d97634e46e76612\$lut for cells of type $lut. Using template $paramod$e5ca65a4ef689621b3aeabada05c2009697d651c\$lut for cells of type $lut. Using template $paramod$e00b844c6aa23621b3a9b7fc8d116b356163b9f1\$lut for cells of type $lut. Using template $paramod$acda4eccb8b777ab4ba6808e33395751b64ed597\$lut for cells of type $lut. Using template $paramod$0c058dfc29825e1ea89fdfb7507eb91d68500897\$lut for cells of type $lut. Using template $paramod$6f3bad503e26b2b221f04d7fe48534ff06997dfe\$lut for cells of type $lut. Using template $paramod$659a283a1e6bcf695d44e2f641692d07efcbd3cd\$lut for cells of type $lut. Using template $paramod$f6237aabc588eff7ee9b4f827dabc5f8e6a972a0\$lut for cells of type $lut. Using template $paramod$d6e6d411b16e057eae3ca70523bb1b2722704525\$lut for cells of type $lut. Using template $paramod$8833253c105c1f3ff9a03a20302d6d0a2f4d9c5b\$lut for cells of type $lut. Using template $paramod$c0e395c2d0dfbafa147a6aae7cfc1897ce26affb\$lut for cells of type $lut. Using template $paramod$90d040213a8233db7241b749c7c2e3ba613c2f3c\$lut for cells of type $lut. Using template $paramod$e4b832d686d12318ec0715f027fe549b42e45c20\$lut for cells of type $lut. Using template $paramod$5321e04f7ce32c091123c3570ab562efb1c81402\$lut for cells of type $lut. Using template $paramod$88c7fa4cebf7dcb13ff45f839cb2ced3333f7369\$lut for cells of type $lut. Using template $paramod$aab54572d5ffecd31253b36e73e9cb718d05be34\$lut for cells of type $lut. Using template $paramod$87659d35eed63507adbc882972cd66436315bdbc\$lut for cells of type $lut. Using template $paramod$52953750219effadf43093a566baf492fdd6b6c8\$lut for cells of type $lut. Using template $paramod$a2b1eaa7061d7d3b2d167defef3e9805a2db2286\$lut for cells of type $lut. Using template $paramod$000fa2164e1f538c16460571efee2b6209a086cc\$lut for cells of type $lut. Using template $paramod$af574ac083faf8d8036edb1d34eb40d28d20ac3a\$lut for cells of type $lut. Using template $paramod$d72b39aae547bf5473b4f5b33fc824040e0dc79c\$lut for cells of type $lut. Using template $paramod$03d7da0d848b4f563fda6bc83a08135cc5ded340\$lut for cells of type $lut. Using template $paramod$6d937d8a77a6356f2f9cc89d5646fb948bb8225e\$lut for cells of type $lut. Using template $paramod$7172c591dbc20477c74967033bf9f4d27a36231d\$lut for cells of type $lut. Using template $paramod$6023c671e114c4eb0467aa8a0b08e183f33ec2fd\$lut for cells of type $lut. Using template $paramod$c217e185eb8e6463ca272982ba8c5940fa90d81f\$lut for cells of type $lut. Using template $paramod$1fd785bf11bc9d753ee0cbd45ad8d84e98a705db\$lut for cells of type $lut. Using template $paramod$52fa1b2073b9054923f466bbb768e0ea7c69c9e3\$lut for cells of type $lut. Using template $paramod$e6cfc2a250ad5b0b3da266fa46a057a6eca6e3d9\$lut for cells of type $lut. Using template $paramod$4977d039e70bdfc2a34a7daff995878bf2adf604\$lut for cells of type $lut. Using template $paramod$58b33073d6510d6145ff01c28a604d07765b1342\$lut for cells of type $lut. Using template $paramod$cd4457b32a286bcb33e3ec0631650673cdc5b177\$lut for cells of type $lut. Using template $paramod$9cf044275e70b6dc34d2f815a6f8ffc23f9694a0\$lut for cells of type $lut. Using template $paramod$e7f8bd073a753430ab4faf31e39515202502d43d\$lut for cells of type $lut. Using template $paramod$b7d5a1d13a95755f80d49e78f7fe57dd63a6cfbe\$lut for cells of type $lut. Using template $paramod$e2d4399e1e149b2bd3c04b32fc0d847bb8cdd6d6\$lut for cells of type $lut. Using template $paramod$20f3f4b8e32f8a8b038b0056872dc94926194798\$lut for cells of type $lut. Using template $paramod$60b758fd5679f6508bff32bea2afedb4f329e5f9\$lut for cells of type $lut. Using template $paramod$cfcc41897735ad5ea14a1f12fcee73dcacb20e30\$lut for cells of type $lut. Using template $paramod$cd23faa79a21f10567247cc56a2016c22feb9218\$lut for cells of type $lut. Using template $paramod$a3f1118f12e06a15a07fbe4e252a0082354b8c5d\$lut for cells of type $lut. Using template $paramod$3751cff910103888107374559f35d9f1f2f94946\$lut for cells of type $lut. Using template $paramod$2bde02b8b9e7d4618088fbf4dd7d45ed8df60d20\$lut for cells of type $lut. Using template $paramod$20ae971f03a8aabd14bc9c90601f9bed8100c9da\$lut for cells of type $lut. Using template $paramod$d58242a4d834586ae74b4a159ac15b073ed6930a\$lut for cells of type $lut. Using template $paramod$66658cbed86a8310f9b7ba1190d35eff90ee749b\$lut for cells of type $lut. Using template $paramod$d8a851edf04260eb28aaaf93d5a60542d6e72d32\$lut for cells of type $lut. Using template $paramod$15347ed7385bf3cbbaf3dfabb41219d5252f509e\$lut for cells of type $lut. Using template $paramod$4bb52fcdf970d7d6389259025a1573b3862fa4f4\$lut for cells of type $lut. Using template $paramod$84b1eac3ac1c630612c9cfeb838f550ff1ff45f4\$lut for cells of type $lut. Using template $paramod$31d0fe9872e147e32329f1888afc564130486200\$lut for cells of type $lut. Using template $paramod$56c773b3f56f52fffab804322896df512a7a3969\$lut for cells of type $lut. Using template $paramod$3f6120993f5bd16e03f5529bd4cb39209c87a9b9\$lut for cells of type $lut. Using template $paramod$e2d96f36ef28053ecd27167cd95b944485ac3146\$lut for cells of type $lut. Using template $paramod$fcff9a7b1687e357a40264efcefe8443c8b2971a\$lut for cells of type $lut. Using template $paramod$4b9b235bc4444ff899bef0c648e4109b26737f1a\$lut for cells of type $lut. Using template $paramod$e019cb14313283ce60b57907d30cf3eefa00a93d\$lut for cells of type $lut. Using template $paramod$c8f16510db975553c8b0be1064e8f5234175f8a8\$lut for cells of type $lut. Using template $paramod$f078e8795264523c05d440d1d8badd2b4b54294c\$lut for cells of type $lut. Using template $paramod$1bb2fc47b457abe7e28b98cfa3441b6432237f90\$lut for cells of type $lut. Using template $paramod$75d5c453cca75cc7a7ca320c4fb7be0932b6aaa7\$lut for cells of type $lut. Using template $paramod$56e6d8a28a52006bb4e50e077743f0a2163235af\$lut for cells of type $lut. Using template $paramod$0ee0167fb5dd83bdfe7197fff23e2c7146c57037\$lut for cells of type $lut. Using template $paramod$4e4d7d0eb53c4d7000cf8f9e22466e30113231da\$lut for cells of type $lut. Using template $paramod$16894c241be5ea1f024e9339dea788b4dbe184ae\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010100 for cells of type $lut. Using template $paramod$f55f4b90ec8e3e648d5c29eab1fa5ddd64b3f973\$lut for cells of type $lut. Using template $paramod$5b5755730b9a53f6c50cca29ba2f99d7e0bc0fe6\$lut for cells of type $lut. Using template $paramod$0e7ce19e5da99c6675c7a5220f7cc55270b24ac0\$lut for cells of type $lut. Using template $paramod$a101bd9a2a1ab198123948013754530dcd77ffd7\$lut for cells of type $lut. Using template $paramod$20ba583962918fa0136fc97b1558cc45cc91cc29\$lut for cells of type $lut. Using template $paramod$20bc46a6091360e6bdf7121e8f699057c885690f\$lut for cells of type $lut. Using template $paramod$a1522875362ebcc26f7effea4d2e5fdd80b2cc18\$lut for cells of type $lut. Using template $paramod$dcbefefc083a24b8b1299fbcd6ce4f4349d06a82\$lut for cells of type $lut. Using template $paramod$3b2fdfbff14ab5320509c9ec42fe20214a5bc8e3\$lut for cells of type $lut. Using template $paramod$47d4b8e5ffcbb8ee8e8cb88e9854fd6268b661c2\$lut for cells of type $lut. Using template $paramod$18368a3da11a7221c7fb674ec80ee0d0bd64b883\$lut for cells of type $lut. Using template $paramod$345fd45d08372b78664700630f82ee6e3f3317d9\$lut for cells of type $lut. Using template $paramod$27c13afdd2da076f6116ed4fee4085aeaa205148\$lut for cells of type $lut. Using template $paramod$cc173bb48f638125313eee2d9b59be0a55452992\$lut for cells of type $lut. Using template $paramod$5a621b016c894274d07edef48c49b401a15fd796\$lut for cells of type $lut. Using template $paramod$edfdd002d369b276282ba114ad80081aeb01c009\$lut for cells of type $lut. Using template $paramod$d5b95ef1c89d834e3e72966caf0c9ff97c5cfa95\$lut for cells of type $lut. Using template $paramod$153c6cdaaddbc43e6ef3facd06aa851de33910ae\$lut for cells of type $lut. Using template $paramod$39b0d201a18bed5573a88835da3f39d40814d360\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101010 for cells of type $lut. Using template $paramod$4853050665c020c8d21fb1a749196950a09d9df8\$lut for cells of type $lut. Using template $paramod$794554a856fc44d9b0b1ae51a7235a327dd9c4b7\$lut for cells of type $lut. Using template $paramod$f405ee362848dd1a47c58160f854302f6ecf95ff\$lut for cells of type $lut. Using template $paramod$d111e7ef4c95d908e6ea5abb4e19619ecc8d8044\$lut for cells of type $lut. Using template $paramod$58df2c605746858c7e53492c8f57d6f1fafa12d2\$lut for cells of type $lut. Using template $paramod$694c95659b447cef99dd4cdbd49b87dfd5f6c806\$lut for cells of type $lut. Using template $paramod$d9922e15eb5da1acc26e937540cc16b16c2ad42c\$lut for cells of type $lut. Using template $paramod$51f16771a212f7d7927f95aa192faa6dc8222898\$lut for cells of type $lut. Using template $paramod$7947673503ebe0795857dbc35e6477d498481681\$lut for cells of type $lut. Using template $paramod$7f8dd210dcfb8ec6cdc6d50524bb359b3da883fb\$lut for cells of type $lut. Using template $paramod$21cd8b615510010d2490e567840e4cb3f43e8727\$lut for cells of type $lut. Using template $paramod$e9c77024ca501b890c641f9c0b10e27242db8730\$lut for cells of type $lut. Using template $paramod$e197e162644f13ba3d6def1b385f7543969ee569\$lut for cells of type $lut. Using template $paramod$48c19eb209dd8ad2c18334e4df384d3b3b74d5ec\$lut for cells of type $lut. Using template $paramod$7e9df0afb32b76fe5fce0691b8752aca650057fa\$lut for cells of type $lut. Using template $paramod$bf8991299172b5d97b8e0448d29fa95793cad69c\$lut for cells of type $lut. Using template $paramod$8f5c5f2e162a0c6ab4a0f5b47ea1c8b0d1861639\$lut for cells of type $lut. Using template $paramod$802937636c830004373e16b327889ec06d3fe50c\$lut for cells of type $lut. Using template $paramod$1cccfc85f33108fbb03c946c0d80d98cea5d8ad4\$lut for cells of type $lut. Using template $paramod$6051cc942ebe6def12ad03e74fc57fd19331d317\$lut for cells of type $lut. Using template $paramod$0331e023d83b8009e60defb446ce9fa640b122c7\$lut for cells of type $lut. Using template $paramod$83f8a77a82b30303d0d950f0eb545c79a45eece4\$lut for cells of type $lut. Using template $paramod$ba7f31f246a278c41fa0648a6e0512f63185dec0\$lut for cells of type $lut. Using template $paramod$f24ba3ced4b870f8e829f5ac5a8af88573350e6f\$lut for cells of type $lut. Using template $paramod$a328c346f5f6bd6948bdefb8a65797d256f5d673\$lut for cells of type $lut. Using template $paramod$ae6a06ff264df21000ed4876fc99d81c251c1419\$lut for cells of type $lut. Using template $paramod$e0286d7bdebdb6346cb367bb1962e01892ba2e32\$lut for cells of type $lut. Using template $paramod$ea79e410ad0f4fc3326666c891e1f3992816d636\$lut for cells of type $lut. Using template $paramod$7a34448cfc6b1f49d24c675c4cabea267804b61c\$lut for cells of type $lut. Using template $paramod$1563f48586b5a322d69f35284a0c19c030c8d9d2\$lut for cells of type $lut. Using template $paramod$608f40069c27841a5b3bdf03643a34bdc8974072\$lut for cells of type $lut. Using template $paramod$2c4c34bd719acbc78e44e6f62fe5d26ed970a52f\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101110 for cells of type $lut. Using template $paramod$0d3ac82cf5b8a192d5ff4c23e3143360366ae882\$lut for cells of type $lut. Using template $paramod$176a6ceafa512d807921d7dfc76320dfbbfb5fe4\$lut for cells of type $lut. Using template $paramod$4270f290aff868c3cb2f9812632093bc3a3d9650\$lut for cells of type $lut. Using template $paramod$c9d86860d7b8a94fe4e147db4941c14e73dd3281\$lut for cells of type $lut. Using template $paramod$8cbea7472fe8ec8b0d9b301f17edad7f1c398048\$lut for cells of type $lut. Using template $paramod$4d5fa0c21aaa9745a301eda7465c650b5896bed0\$lut for cells of type $lut. Using template $paramod$5c0eb292ef891be47277bee5c25eaa71b34b43e2\$lut for cells of type $lut. Using template $paramod$e4723c78131b859cdb296cc7099a965ce6bf28d9\$lut for cells of type $lut. Using template $paramod$97ab785d669e270c3b8f40f252565ab3b3008b09\$lut for cells of type $lut. Using template $paramod$17c27ffdda03355f95b2ba5edc73ca082237c935\$lut for cells of type $lut. Using template $paramod$b89c522b7f70adaee1a35d80e932f38159b6a445\$lut for cells of type $lut. Using template $paramod$fddfaafad20e385d20971828336f8fb14f3d4f32\$lut for cells of type $lut. Using template $paramod$3c712553f2f5a448e8c696903ee0800251a0a2fe\$lut for cells of type $lut. Using template $paramod$7295da7c5b19f528a428229f2570e0a23ad372af\$lut for cells of type $lut. Using template $paramod$47b2f5a9f58cb4be072657772748a1ab82d6819a\$lut for cells of type $lut. Using template $paramod$d4fae2c0d9ad2966369cd4e39b81c71bcd1327c9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001110 for cells of type $lut. Using template $paramod$0a5a9f29eb47b2807f3193c03bd9a8e1d6027644\$lut for cells of type $lut. Using template $paramod$127c0f065cbdbfc01465fd58161d13b20251be83\$lut for cells of type $lut. Using template $paramod$b4f15f202f50520dbc381cd0880ac94f830f05a8\$lut for cells of type $lut. Using template $paramod$8e01d13e078e8177912f721c32dbabb20f78322d\$lut for cells of type $lut. Using template $paramod$50222e4d0527635d5cf211ed95d1ccfc839e99e8\$lut for cells of type $lut. Using template $paramod$f94cf08026d21db794b98b1a8efaec5f34ff8975\$lut for cells of type $lut. Using template $paramod$18e50808df562b188523e13714b96fedec6427c1\$lut for cells of type $lut. Using template $paramod$833582361e14b3ee2e66ad676022ab35d7aa7e28\$lut for cells of type $lut. Using template $paramod$1843b3c15f2447d117e2d5de9b00f791ef5f9fa3\$lut for cells of type $lut. Using template $paramod$bf8a2eb9c34204449ae734db198784b474646269\$lut for cells of type $lut. Using template $paramod$6c543b558919ff57a92ac09985ad349c5934cfed\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01101010 for cells of type $lut. Using template $paramod$432e4e01d71b10f1550b48be5a824f4f5138a82c\$lut for cells of type $lut. Using template $paramod$486e9253c65fbb8e04c0c19bc627fa9f3113c6b6\$lut for cells of type $lut. Using template $paramod$7fcc2f13195f27c397064377984d87a90c06749d\$lut for cells of type $lut. Using template $paramod$01d6171b877f7655dc0d32e32900a6a207a75b44\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01011100 for cells of type $lut. Using template $paramod$619b7c9a4d44585e10b42f7fb79b16304cca97c5\$lut for cells of type $lut. Using template $paramod$684b54388be6489c38743e4efd4f9fa13e760a4a\$lut for cells of type $lut. Using template $paramod$ee82f1504b2c48e70160208feb4e1f2a1b612b8d\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110111 for cells of type $lut. Using template $paramod$e1ac894a2723e96ae103a1941dc871fbb0ccd216\$lut for cells of type $lut. Using template $paramod$c24d0e2a94559837d969df5b5aaf84188feaf3d8\$lut for cells of type $lut. Using template $paramod$34536926332939882b8ff52380fffc08ed1f405f\$lut for cells of type $lut. Using template $paramod$53ce561f80f32d4298a3beadc88b6c5c78293221\$lut for cells of type $lut. No more expansions possible. 21.44. Executing OPT_LUT_INS pass (discard unused LUT inputs). Optimizing LUTs in processorci_top. Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153690.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153697.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153719.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153720.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153721.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153760.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153871.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153926.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153874.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153906.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153916.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153976.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153753.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153769.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154006.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153821.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153798.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153775.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32984.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32984.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32966.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32903.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32903.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32903.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32903.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32903.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32903.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[30].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$32566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32531.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32514.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32514.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32514.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32514.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32514.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32514.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32467.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32467.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32467.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32467.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32467.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32467.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32467.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32461.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32440.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32440.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32435.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$32435.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32435.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$32187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32181.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32181.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32181.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32181.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32181.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32181.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32181.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32122.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32105.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32105.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32105.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32105.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32105.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32105.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32099.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32074.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32070.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31739.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$31739.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$31739.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31739.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31739.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$31739.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$31685.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31685.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31685.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31660.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31660.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31660.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31660.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31660.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31660.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31654.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31629.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31629.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31629.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31629.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31629.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31629.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31629.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31623.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31602.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31602.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31597.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31597.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31597.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31180.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31180.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31136.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31136.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31136.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31136.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31136.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31136.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31130.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31109.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31109.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31073.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31052.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$30789.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30789.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30789.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30789.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30789.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30783.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30783.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30783.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30783.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30783.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30783.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30783.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30777.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30717.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30717.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30717.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30717.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30717.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30717.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30711.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30692.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30692.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30692.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30692.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30692.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30692.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30686.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30663.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30663.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30663.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30663.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30663.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30663.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30663.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30401.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30392.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30372.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30345.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30330.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30330.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30330.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30330.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30330.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30330.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30324.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30289.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30264.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29878.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29878.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29878.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29878.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29878.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29872.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29872.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29867.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29851.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29776.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29757.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29757.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$29752.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29714.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29705.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29705.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29705.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29705.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29705.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29317.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29317.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29317.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29317.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29317.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29317.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29286.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29263.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28950.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28908.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28908.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$28903.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28871.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28504.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28473.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28454.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28449.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$28449.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$28449.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28392.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28117.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28117.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28117.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28117.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28117.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28053.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28030.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28007.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28007.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28007.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28007.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28007.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28007.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27983.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$27956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27907.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27590.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27590.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27590.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27590.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27590.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27578.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27509.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27488.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27488.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27488.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27488.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27488.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27488.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27482.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27459.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27150.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$27106.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27106.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27106.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27106.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27106.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27100.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27100.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27100.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27100.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27100.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27100.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27100.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26995.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$26981.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26609.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26591.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26549.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26549.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$26544.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26506.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26261.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26261.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26261.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26261.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26261.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26255.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26255.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26255.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26255.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26255.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26255.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26255.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26234.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26209.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26184.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26180.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26148.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26139.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26139.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$26134.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26134.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26134.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26134.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26134.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26134.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26134.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26128.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26096.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25799.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25768.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25753.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25753.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25753.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25753.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25753.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25753.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25747.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25724.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25720.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25703.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25703.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25703.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25703.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25703.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25703.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25703.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25697.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25678.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25678.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$25673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25673.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25667.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25635.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25315.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25315.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25315.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25315.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25315.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25303.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25239.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25239.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25239.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25239.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25239.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25239.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$25187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25181.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25161.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25161.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25161.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25161.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25161.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25161.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25155.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[13].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24752.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24752.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24752.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24752.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24752.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24752.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24746.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24728.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24708.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24708.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$24703.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24664.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24655.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24655.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24655.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24655.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24655.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24422.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24422.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24422.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24422.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24422.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24416.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24416.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24411.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24377.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24377.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24377.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24377.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24377.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24377.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24371.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24347.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24312.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$24298.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23864.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23864.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23859.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23791.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23771.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23766.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23748.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23748.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$23743.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23719.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23719.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23719.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23719.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23719.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23719.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23713.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[10].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23418.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23418.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23418.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23418.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23418.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23412.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23412.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23412.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23412.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23412.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23412.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23346.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23346.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23346.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23346.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23346.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23346.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$23293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23287.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23255.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22994.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22994.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22994.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22994.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22994.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22988.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22988.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22968.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22920.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$22872.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22848.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22848.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22848.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22848.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22848.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22848.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22495.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22495.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22495.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22495.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22495.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22448.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22444.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22403.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22403.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$22398.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22366.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22047.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22047.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22047.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22047.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22047.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22035.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22018.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22018.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22018.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22018.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22018.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22018.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22012.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21992.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21992.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21992.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21992.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21992.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21934.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21921.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21895.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21895.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21895.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21895.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21895.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21895.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[6].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21543.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21543.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21543.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21543.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21543.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21543.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21507.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21497.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21497.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21497.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21497.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21497.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21497.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21491.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21468.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$21419.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21394.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21394.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21394.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21394.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21394.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21394.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21059.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21059.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21059.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21059.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21059.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21059.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21053.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21024.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21014.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21014.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21014.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21014.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21014.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21014.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21008.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20946.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20946.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$20941.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20941.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20941.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20941.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20941.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20941.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20941.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20935.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20903.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20558.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20558.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20558.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20558.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20558.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20531.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20482.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20478.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20427.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20407.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$20395.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20395.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20152.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20152.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20152.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20152.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20152.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20146.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20146.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20146.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20146.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20146.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20146.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$20031.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20031.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20031.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20031.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20031.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20031.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20031.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20025.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19999.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19561.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19561.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19561.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19561.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19561.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19561.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19561.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19555.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19494.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19494.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19494.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19494.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19494.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19494.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19488.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19456.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19446.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19446.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$19441.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19402.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19076.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19076.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19076.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19076.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19076.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19070.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19070.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19070.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19070.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19070.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19070.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19070.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19050.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19050.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19050.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19050.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19050.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18976.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$18958.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$18958.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$18953.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18914.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18905.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18905.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18905.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18905.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18905.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17243.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17238.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17162.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17120.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17120.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$17044.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154008.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154010.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154010.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154010.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154010.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154010.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154010.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154011.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154011.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154011.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154011.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154011.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16617.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16589.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$16511.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154032.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16453.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16453.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16453.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16453.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16453.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16453.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16444.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16398.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16398.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16398.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16398.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16398.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16360.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16327.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154024.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154024.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$16210.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16163.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16163.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16163.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16163.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16163.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16154.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16154.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16154.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$16154.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154012.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154012.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154012.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154012.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154012.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154012.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16067.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16044.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16044.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16009.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16009.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16009.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16000.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16000.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154068.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154068.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154072.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15912.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154057.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154057.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15749.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15702.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15702.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15702.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15702.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15702.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15681.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15681.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154076.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15668.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15512.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154052.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154052.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15499.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154019.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154019.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154019.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154019.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154019.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154019.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154053.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154053.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154053.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154053.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154053.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154053.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15403.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15335.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15335.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15335.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15335.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15335.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15335.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15316.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$15308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$15277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$15198.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15198.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15198.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15198.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15198.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154020.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154020.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154020.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154020.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154020.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154020.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15061.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14947.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14893.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14893.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14893.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14893.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14893.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154021.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154021.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154021.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154021.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154021.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14834.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14834.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154044.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14735.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14723.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14723.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154027.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14617.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14608.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14608.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14608.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14608.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14592.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154038.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154039.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14468.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14459.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14459.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14459.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14459.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14459.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14459.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14442.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14442.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14442.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14442.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154030.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154031.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14220.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14211.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14211.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14211.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14211.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14195.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14195.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14195.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14195.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14195.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14195.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14132.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14132.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14132.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14132.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14132.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14132.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154035.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14074.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14048.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14048.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14048.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14048.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13969.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13969.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13969.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13969.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13920.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154042.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154042.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154077.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13869.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13860.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13860.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13860.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13860.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154043.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154043.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13695.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13686.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13631.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13631.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13631.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13631.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13631.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13631.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13564.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13555.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13555.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13555.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13555.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13539.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13539.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13539.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13539.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13539.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13539.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154066.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13212.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13203.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13203.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13203.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13203.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154062.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154062.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13131.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13122.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13122.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13122.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13122.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13122.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13122.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154063.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13039.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13039.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13039.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13039.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12977.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12977.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12977.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12977.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12977.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12977.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12960.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12960.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12960.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12960.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154075.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12901.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154069.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12834.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12809.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12809.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12809.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12809.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12809.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12809.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12746.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12746.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12746.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12746.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12730.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12730.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12730.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12730.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12730.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12730.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12679.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154070.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154071.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154071.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12666.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12657.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154081.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154081.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154080.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154080.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12552.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12472.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12472.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$12452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$12452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$12452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12418.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12411.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$12380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12347.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12347.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12347.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154082.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12169.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12160.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12160.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12160.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12160.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12160.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12160.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12095.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12095.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$12095.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12095.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12095.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154083.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11987.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11987.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11987.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11987.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11971.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11874.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11874.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11874.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11874.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11609.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154085.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12234.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12234.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12234.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13349.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13340.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13340.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13340.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13340.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13340.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13340.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154055.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154055.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11714.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154087.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11696.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13471.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13471.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13471.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13471.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13471.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11550.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11550.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11550.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11489.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11489.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32005.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11921.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31005.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12406.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12015.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12015.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12015.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12015.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12015.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12597.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15829.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15829.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15829.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15829.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15829.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19285.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11496.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11550.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11550.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11557.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11584.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11591.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11599.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$11617.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11632.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11696.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11714.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11765.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11791.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154046.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11816.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11823.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11874.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$11900.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11900.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11996.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12015.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12020.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154079.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12095.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154086.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12169.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12180.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12234.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12252.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12347.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12380.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154084.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12406.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12411.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12411.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$12510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12574.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12597.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12666.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12679.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12679.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12755.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12755.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12834.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154067.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12901.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154059.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13131.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154062.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13212.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154055.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13349.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154058.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13381.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13381.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13480.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154054.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154085.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13564.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154050.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13580.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13580.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13695.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154043.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13790.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13790.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13869.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154074.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13892.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$13907.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$13920.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13995.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13995.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14074.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154034.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14141.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14141.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14220.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154041.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14468.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14538.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14538.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14617.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154026.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14700.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14735.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154022.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14798.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14815.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154021.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14893.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14893.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14917.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14921.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14927.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154037.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$14947.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14947.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14985.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$14989.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15041.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15048.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154020.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15066.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15137.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15163.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15175.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$15198.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$15198.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15320.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15335.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15339.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$15354.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154053.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$15415.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154017.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15452.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15516.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15572.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$15577.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15582.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15681.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15702.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15702.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15758.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15770.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154015.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15782.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154036.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15796.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15816.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15820.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15829.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15849.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$15855.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12597.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15912.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15912.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15934.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15949.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154068.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16000.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16009.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154029.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16044.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154013.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16067.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16108.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16163.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16163.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16327.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16327.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16342.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16348.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16348.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$16360.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16398.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16398.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16453.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154060.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$16487.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16496.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16511.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$16511.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16589.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16589.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16617.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$16626.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16638.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16657.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16664.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16671.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16678.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16779.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16788.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$16811.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12406.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$12192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$13471.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17033.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17044.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17048.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17058.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17070.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17082.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17120.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17133.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17145.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17155.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17162.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17167.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17174.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17187.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17187.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17201.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17213.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17222.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17243.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17243.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17251.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17350.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17369.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17390.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17408.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17448.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17469.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17539.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17586.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17653.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17720.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17790.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17834.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$17953.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18231.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18293.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18382.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18403.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18635.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18746.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18773.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18780.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18806.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18825.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18841.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18905.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18905.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18918.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18928.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18941.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$18941.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$18958.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$18976.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$18982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$18992.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19002.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19006.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19018.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19018.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19032.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19050.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19050.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19076.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19152.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19168.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19178.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19218.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19234.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19253.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19269.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19331.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19335.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19392.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19402.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19406.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19416.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19429.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19429.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19446.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19456.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19460.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19470.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19494.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19508.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19522.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19533.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19539.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19555.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19579.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19595.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19629.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19662.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19678.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19697.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19712.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19791.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut\Controller.Memory.address[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut\Controller.Memory.address[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19894.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19902.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19933.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19981.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19999.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20005.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20025.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20040.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20045.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20050.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20066.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20074.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20078.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20093.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20093.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20107.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20146.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20152.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20165.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20176.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20216.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20250.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20260.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$20267.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20285.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20301.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20319.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20335.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20376.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20385.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20395.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20407.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$20407.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$20427.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20437.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20449.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20460.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20470.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20478.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20486.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20498.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20498.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20512.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20531.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20531.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20546.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20558.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20558.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20565.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20581.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20599.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20623.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20627.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20637.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20650.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20668.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20684.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut\Controller.Memory.address[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20779.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20789.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20861.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20886.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20894.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20903.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20907.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20917.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20946.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20953.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20966.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20966.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20978.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$20989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$20989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$21008.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21014.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21024.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$21024.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21034.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$21053.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21059.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21064.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21079.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21089.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21127.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21140.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21161.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21171.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$21178.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21196.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21212.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21230.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21246.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21266.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21304.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21365.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21371.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21388.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21394.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21407.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21407.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21433.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21445.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21445.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21457.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21464.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21472.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21491.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21497.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21507.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$21507.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21514.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21518.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$21537.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21543.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21548.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21555.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21571.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21589.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21599.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$21606.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21624.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21637.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21658.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21676.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21694.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21758.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[6].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21812.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21868.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21874.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$21889.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21895.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21909.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21909.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21925.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21938.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21948.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21961.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21961.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$21973.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21992.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$21992.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22012.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22018.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22035.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22047.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22047.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22054.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22070.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22088.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22098.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$22105.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22123.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22141.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22157.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22173.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22349.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22366.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22372.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22386.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22386.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22403.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22415.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22426.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22436.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22444.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22452.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22463.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22463.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22477.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22495.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22495.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22549.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22586.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22593.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$22600.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22618.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22636.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22652.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22676.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22688.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22713.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22726.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22777.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22787.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22842.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22848.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22860.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22889.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22900.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22910.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22924.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22935.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22935.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$22949.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22968.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22968.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22983.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22988.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22994.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22994.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23001.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23035.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23045.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$23052.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23070.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23086.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23104.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23122.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23140.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23245.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23255.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23259.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23269.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23321.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23340.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23346.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23361.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23375.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23386.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23392.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23412.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23418.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23418.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23425.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23441.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23459.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23469.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$23476.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23494.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23510.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23534.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23539.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23556.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23564.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23633.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23713.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23719.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23731.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23748.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23766.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23771.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23771.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23791.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$23797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23811.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23825.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23844.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23864.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23877.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23896.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23914.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23921.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$23928.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23946.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23962.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23980.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$23996.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24016.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24034.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24046.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$24096.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24106.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24195.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24220.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24251.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24268.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24274.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24286.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24286.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24316.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24326.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24336.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24343.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24351.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24371.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24377.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24388.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24395.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24411.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24416.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24422.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24435.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24454.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24472.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24479.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$24486.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24504.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24520.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24538.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24556.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24655.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24655.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24664.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24668.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24678.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24691.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24691.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24708.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24728.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24728.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24746.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24752.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24784.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24784.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24798.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24819.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24868.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$24888.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24907.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24925.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24932.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$24939.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24957.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24975.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$24991.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25004.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25027.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25057.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25137.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25155.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25161.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25181.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25192.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25204.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25215.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25233.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25239.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25254.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25254.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25268.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25303.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25315.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25379.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25398.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25413.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25423.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$25430.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25448.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25464.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25482.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25498.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25518.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25540.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$25625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25635.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25639.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25649.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25667.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25678.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25697.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25707.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25711.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25720.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25728.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25753.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25768.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25772.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$25782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25788.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$25794.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$25799.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25799.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25806.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25822.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25840.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25850.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$25857.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25875.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25891.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25909.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25927.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26085.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26096.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26100.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26110.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26128.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26139.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26152.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26162.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26172.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26180.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26188.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26209.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26234.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26234.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26249.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26261.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26261.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26268.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26302.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26312.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$26319.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26337.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26353.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26371.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26389.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26407.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26455.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26455.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26497.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26510.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26520.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26532.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26549.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26556.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26568.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26580.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26591.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$26591.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$26609.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26615.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$26625.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26631.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26635.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$26648.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26648.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26662.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26667.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26674.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26687.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26711.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26718.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$26725.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26743.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26759.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26777.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26790.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26813.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26874.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26934.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26943.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26947.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26957.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26969.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$26986.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$26999.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27009.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27027.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27048.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27048.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27062.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27073.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27079.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27094.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27106.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27154.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27178.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27194.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27212.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27222.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$27229.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27247.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27263.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27281.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27297.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27317.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27332.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27336.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27404.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27423.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27423.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27434.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27447.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27447.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27463.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$27482.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27488.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27509.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27515.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27530.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27530.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27544.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27551.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27561.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27578.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27590.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27623.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27639.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27657.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27681.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27685.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27692.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27708.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27724.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27743.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27754.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27762.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27791.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27795.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27927.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27939.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27960.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27983.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27983.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28001.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28007.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28036.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28059.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28059.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28076.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28090.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28111.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28117.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28117.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28124.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28143.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28161.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28168.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28175.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28193.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28209.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28227.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28243.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28371.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28379.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28383.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28392.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28396.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28406.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28418.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28418.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28432.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28449.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$28458.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28479.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28479.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28504.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28504.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28518.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28518.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28524.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28553.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28587.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28621.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28631.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$28638.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28656.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28674.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28690.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28706.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28726.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28758.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28762.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28853.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28871.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28877.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28891.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28891.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28908.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28917.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28921.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28931.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28950.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28956.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28971.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28971.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$28985.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29003.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29036.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29052.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29070.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29089.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29105.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29121.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29145.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29150.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29167.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29175.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29206.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29206.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29213.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$29217.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29225.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29238.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29251.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29251.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29267.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$29286.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29311.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29317.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29330.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29344.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29363.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29383.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29389.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29396.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29433.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29440.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$29447.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29465.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29481.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29499.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29523.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29565.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$29705.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29705.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29718.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29728.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29740.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29757.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29782.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29789.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29803.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$29807.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29818.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29832.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29851.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29851.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29867.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$29872.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29878.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29878.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29885.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29904.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29922.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29929.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$29936.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29954.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29970.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$29988.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30004.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30024.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30135.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30139.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30218.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30230.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30268.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30289.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30299.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30330.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30352.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30352.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30365.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30376.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30392.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30396.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30401.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30411.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30424.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30448.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30455.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$30462.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30480.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30496.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30514.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30530.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30550.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30610.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30616.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30625.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30625.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30638.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30657.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30667.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$30686.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30692.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30717.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30730.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30730.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30744.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30751.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30761.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30777.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30789.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30813.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30844.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30878.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30888.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$30895.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30913.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30929.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30947.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30963.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$30983.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31005.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31033.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$31052.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31058.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31078.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31104.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31109.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31136.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31156.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31163.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31169.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31169.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31175.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31199.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31218.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31231.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31252.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31289.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31298.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31361.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31385.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31394.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31476.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31549.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31549.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31566.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31566.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31579.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31597.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31602.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31623.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31634.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31654.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31660.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31669.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31669.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31685.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31689.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31718.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31718.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31732.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31772.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31806.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31831.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31835.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$31847.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31863.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$31882.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31905.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31951.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31955.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32010.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32029.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32052.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32062.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32070.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32078.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32099.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32105.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32128.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32128.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32145.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32159.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32187.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32194.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32268.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32291.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32339.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32386.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32402.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32402.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32415.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32435.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$32440.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32461.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32472.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32508.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32514.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32536.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32552.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32560.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32591.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32653.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32685.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32731.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32782.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[30].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32847.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32885.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32903.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32941.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32947.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32957.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32971.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$32979.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$33037.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$33085.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$33120.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$33155.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[10].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$flatten\Core.\Mdu.$procmux$2598_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$auto$opt_dff.cc:219:make_patterns_logic$4685.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$17689.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[10].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[11].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[13].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[18].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[19].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[22].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[30].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[6].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut$auto$opt_dff.cc:219:make_patterns_logic$4491.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$auto$fsm_map.cc:170:map_fsm$4418[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$auto$opt_dff.cc:219:make_patterns_logic$4845.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$153629$lut$aiger153628$20355.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$flatten\Controller.\Interpreter.$procmux$1888.Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$auto$rtlil.cc:2874:Mux$5229[13].genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$flatten\Controller.\Interpreter.$procmux$1888.Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut\Controller.Memory.address[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut\Controller.Memory.address[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut\Controller.Memory.address[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut\Controller.Memory.address[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$153629$lut\Controller.Memory.address[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153808.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153795.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153764.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153718.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153682.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24422.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$24825.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$25315.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27106.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$27590.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$19076.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$28529.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30401.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$30789.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31180.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$31739.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$19567.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$32566.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$153629$lut$aiger153628$32984.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$20152.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$22521.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153663.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153678.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153689.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153717.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153717.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153720.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153730.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153730.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153732.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153738.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153843.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$aiger153628$27907.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153752.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153761.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153760.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153752.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153769.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153778.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153806.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153807.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153784.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153784.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153786.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153798.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153806.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153786.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153788.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153797.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153822.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153829.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153830.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153833.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153829.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153845.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153846.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153859.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153852.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153853.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153855.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153855.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153857.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153866.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153866.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153871.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153872.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153879.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153879.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153886.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153887.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153895.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153896.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153898.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153898.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153905.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153906.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153911.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153913.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153915.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153916.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153929.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153931.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153931.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153936.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153939.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153942.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153954.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153954.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153957.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153845.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153707.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153975.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153983.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$153629$lut$auto$opt_dff.cc:219:make_patterns_logic$4550.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153983.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153995.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153822.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154006.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$153738.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154006.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154011.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154010.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154011.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154012.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154019.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154021.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154023.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154024.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154025.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154040.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154042.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154045.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$153629$lut$aiger153628$11791.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154048.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154049.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154052.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154056.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154057.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154061.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154071.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154073.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154078.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154080.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154081.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1549:reintegrate$154085.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Removed 0 unused cells and 17963 unused wires. 21.45. Executing AUTONAME pass. Renamed 995260 objects in module processorci_top (363 iterations). 21.46. Executing HIERARCHY pass (managing design hierarchy). Attribute `top' found on module `processorci_top'. Setting top module to processorci_top. 21.46.1. Analyzing design hierarchy.. Top module: \processorci_top 21.46.2. Analyzing design hierarchy.. Top module: \processorci_top Removed 0 unused modules. 21.47. Printing statistics. === processorci_top === Number of wires: 12568 Number of wire bits: 31860 Number of public wires: 12568 Number of public wire bits: 31860 Number of ports: 10 Number of port bits: 10 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 16184 $scopeinfo 24 CCU2C 384 L6MUX21 939 LUT4 9752 MULT18X18D 4 PFUMX 2431 TRELLIS_DPR16X4 1076 TRELLIS_FF 1574 21.48. Executing CHECK pass (checking for obvious problems). Checking module processorci_top... Found and reported 0 problems. 21.49. Executing JSON backend. Warnings: 324 unique messages, 325 total End of script. Logfile hash: eb08f23670, CPU: user 38.11s system 0.41s, MEM: 380.79 MB peak Yosys 0.50+7 (git sha1 38f858374, clang++ 18.1.8 -fPIC -O3) Time spent: 30% 1x abc9_exe (16 sec), 16% 1x autoname (9 sec), ... /eda/oss-cad-suite/bin/nextpnr-ecp5 --json colorlight_i9.json --write colorlight_i9_pnr.json --45k \ --lpf /eda/processor_ci/constraints/colorlight_i9.lpf --textcfg colorlight_i9.config --package CABGA381 \ --speed 6 --lpf-allow-unconstrained --ignore-loops /eda/oss-cad-suite/bin/ecppack --compress --input colorlight_i9.config --bit colorlight_i9.bit [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Flash colorlight_i9) [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] echo Flashing FPGA colorlight_i9. [Pipeline] sh + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config.json -p Risco-5 -b colorlight_i9 -l Final configuration file generated at /var/jenkins_home/workspace/Risco-5/Risco-5/build_colorlight_i9.tcl Makefile executed successfully. Makefile output: /eda/oss-cad-suite/bin/openFPGALoader -b colorlight-i9 colorlight_i9.bit empty Found 1 compatible device: 0x0d28 0x0204 0x3 (null) Open file: DONE b3bdffff Parse file: DONE Enable configuration: DONE SRAM erase: DONE Loading: [==== ] 6.66% Loading: [======= ] 13.57% Loading: [=========== ] 20.48% Loading: [============== ] 27.14% Loading: [================== ] 34.05% Loading: [===================== ] 40.96% Loading: [======================== ] 47.87% Loading: [============================ ] 54.53% Loading: [=============================== ] 61.44% Loading: [=================================== ] 68.10% Loading: [====================================== ] 75.01% Loading: [========================================= ] 81.66% Loading: [============================================= ] 88.32% Loading: [================================================ ] 94.97% Loading: [==================================================] 100.00% Done Disable configuration: DONE [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Test colorlight_i9) [Pipeline] echo Testing FPGA colorlight_i9. [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] sh + echo Test for FPGA in /dev/ttyACM0 Test for FPGA in /dev/ttyACM0 [Pipeline] sh + python3 /eda/processor_ci_tests/test_runner/run.py --config /eda/processor_ci_tests/test_runner/config.json --port /dev/ttyACM0 Running tests in {'name': 'RV32I', 'path': '/eda/processor_ci_tests/tests/RV32I'} Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/014-beq.hex: invalid literal for int() with base 16: '# Reference data for beq\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/035-or.hex: invalid literal for int() with base 16: '# Reference data for or\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/038-ecall.hex: invalid literal for int() with base 16: '# Reference data for ecall\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/012-jal.hex: invalid literal for int() with base 16: '# Reference data for jal\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/017-bge.hex: invalid literal for int() with base 16: '# Reference data for bge\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/004-xori.hex: invalid literal for int() with base 16: '# Reference data for xori\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/025-sb.hex: invalid literal for int() with base 16: '# Reference data for sb\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/001-sw.hex: invalid literal for int() with base 16: '# Reference data for sw\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/029-sll.hex: invalid literal for int() with base 16: '# Reference data for sll\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/013-jalr.hex: invalid literal for int() with base 16: '# Reference data for jalr\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/008-srli.hex: invalid literal for int() with base 16: '# Reference data for srli\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/005-ori.hex: invalid literal for int() with base 16: '# Reference data for ori\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/003-sltiu.hex: invalid literal for int() with base 16: '# Reference data for sltiu\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/024-lhu.hex: invalid literal for int() with base 16: '# Reference data for lhu\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/010-lui.hex: invalid literal for int() with base 16: '# Reference data for lui\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/022-lw.hex: invalid literal for int() with base 16: '# Reference data for lw\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/028-sub.hex: invalid literal for int() with base 16: '# Reference data for sub\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/039-ebreak.hex: invalid literal for int() with base 16: '# Reference data for ebreak\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/016-blt.hex: invalid literal for int() with base 16: '# Reference data for blt\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/018-bltu.hex: invalid literal for int() with base 16: '# Reference data for bltu\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/009-srai.hex: invalid literal for int() with base 16: '# Reference data for srai\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/036-and.hex: invalid literal for int() with base 16: '# Reference data for and\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/026-sh.hex: invalid literal for int() with base 16: '# Reference data for sh\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/015-bne.hex: invalid literal for int() with base 16: '# Reference data for bne\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/021-lh.hex: invalid literal for int() with base 16: '# Reference data for lh\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/006-andi.hex: invalid literal for int() with base 16: '# Reference data for andi\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/002-slti.hex: invalid literal for int() with base 16: '# Reference data for slti\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/032-xor.hex: invalid literal for int() with base 16: '# Reference data for xor\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/007-slli.hex: invalid literal for int() with base 16: '# Reference data for slli\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/037-fence.hex: invalid literal for int() with base 16: '# Reference data for fence\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/011-auipc.hex: invalid literal for int() with base 16: '# Reference data for auipc\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/033-srl.hex: invalid literal for int() with base 16: '# Reference data for srl\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/019-bgeu.hex: invalid literal for int() with base 16: '# Reference data for bgeu\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/027-add.hex: invalid literal for int() with base 16: '# Reference data for add\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/031-sltu.hex: invalid literal for int() with base 16: '# Reference data for sltu\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/034-sra.hex: invalid literal for int() with base 16: '# Reference data for sra\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/020-lb.hex: invalid literal for int() with base 16: '# Reference data for lb\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/030-slt.hex: invalid literal for int() with base 16: '# Reference data for slt\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/023-lbu.hex: invalid literal for int() with base 16: '# Reference data for lbu\n' Error loading test /eda/processor_ci_tests/tests/RV32I/advanced/memory/000-addi.hex: invalid literal for int() with base 16: '# Reference data for addi\n' Error loading test /eda/processor_ci_tests/tests/RV32I/invalid/memory/000-invalid1.hex: invalid literal for int() with base 16: '# Invalid reference placeholder 1\n' Error loading test /eda/processor_ci_tests/tests/RV32I/invalid/memory/004-invalid5.hex: invalid literal for int() with base 16: '# Invalid reference placeholder 5\n' Error loading test /eda/processor_ci_tests/tests/RV32I/invalid/memory/001-invalid2.hex: invalid literal for int() with base 16: '# Invalid reference placeholder 2\n' Error loading test /eda/processor_ci_tests/tests/RV32I/invalid/memory/003-invalid4.hex: invalid literal for int() with base 16: '# Invalid reference placeholder 4\n' Error loading test /eda/processor_ci_tests/tests/RV32I/invalid/memory/002-invalid3.hex: invalid literal for int() with base 16: '# Invalid reference placeholder 3\n' Size: 14 Test 014-beq: False Expected: 10, Actual: 0 False 0 0.10037779808044434 Size: 4 Test 035-or: False Expected: 10, Actual: 18 False 18 0.10037612915039062 Size: 0 Test 038-ecall: False Expected: 10, Actual: 18 False 18 0.1003575325012207 Size: 6 Test 012-jal: False Expected: 10, Actual: 18 False 18 0.10033059120178223 Size: 14 Test 017-bge: False Expected: 10, Actual: 18 False 18 0.10030817985534668 Size: 4 Test 004-xori: False Expected: 10, Actual: 20 False 20 0.10034680366516113 Size: 5 Test 025-sb: False Expected: 10, Actual: 4109 False 4109 0.10033130645751953 Size: 2 Test 001-sw: False Expected: 10, Actual: 25 False 25 0.1003730297088623 Size: 8 Test 042-forwarding-lw: False Expected: 10, Actual: 21 False 21 0.10035419464111328 Size: 4 Test 029-sll: False Expected: 10, Actual: 2 False 2 0.10033726692199707 Size: 8 Test 013-jalr: False Expected: 10, Actual: 8 False 8 0.10036063194274902 Size: 3 Test 008-srli: False Expected: 10, Actual: 8 False 8 0.10033988952636719 Size: 3 Test 005-ori: False Expected: 10, Actual: 8 False 8 0.10033988952636719 Size: 3 Test 003-sltiu: False Expected: 10, Actual: 8 False 8 0.1003866195678711 Size: 0 Test 024-lhu: False Expected: 10, Actual: 8 False 8 0.10030603408813477 Size: 2 Test 010-lui: False Expected: 10, Actual: 8 False 8 0.10035562515258789 Size: 6 Test 022-lw: False Expected: 10, Actual: 4104 False 4104 0.10034632682800293 Size: 4 Test 028-sub: False Expected: 10, Actual: 2062 False 2062 0.10034036636352539 Size: 0 Test 039-ebreak: False Expected: 10, Actual: 2062 False 2062 0.10033535957336426 Size: 14 Test 016-blt: False Expected: 10, Actual: 2062 False 2062 0.10035395622253418 Size: 14 Test 018-bltu: False Expected: 10, Actual: 2062 False 2062 0.10033559799194336 Size: 3 Test 009-srai: False Expected: 10, Actual: 20 False 20 0.10036110877990723 Size: 4 Test 036-and: False Expected: 10, Actual: 20 False 20 0.10033059120178223 Size: 7 Test 041-forwarding: False Expected: 10, Actual: 35 False 35 0.10032796859741211 Size: 5 Test 026-sh: False Expected: 10, Actual: 131023 False 131023 0.10033726692199707 Size: 14 Test 015-bne: False Expected: 10, Actual: 131023 False 131023 0.10037350654602051 Size: 6 Test 021-lh: False Expected: 10, Actual: 262031 False 262031 0.10032224655151367 Size: 3 Test 006-andi: False Expected: 10, Actual: 262036 False 262036 0.10040283203125 Size: 5 Test 002-slti: False Expected: 10, Actual: 6 False 6 0.10063791275024414 Size: 4 Test 032-xor: False Expected: 10, Actual: 9 False 9 0.10064959526062012 Size: 3 Test 007-slli: False Expected: 10, Actual: 12 False 12 0.10039973258972168 Size: 0 Test 037-fence: False Expected: 10, Actual: 15 False 15 0.1003265380859375 Size: 3 Test 040-timeout: False Expected: 10, Actual: 18 False 18 0.10031294822692871 Size: 5 Test 011-auipc: False Expected: 10, Actual: 3657433110 False 3657433110 0.10033082962036133 Size: 4 Test 033-srl: False Expected: 10, Actual: 3657433111 False 3657433111 0.10032987594604492 Size: 14 Test 019-bgeu: False Expected: 10, Actual: 3657433111 False 3657433111 0.10034012794494629 Size: 3 Test 027-add: False Expected: 10, Actual: 20 False 20 0.10994267463684082 Size: 4 Test 031-sltu: False Expected: 10, Actual: 20 False 20 0.10035037994384766 Size: 4 Test 034-sra: False Expected: 10, Actual: 20 False 20 0.10034704208374023 Size: 4 Test 020-lb: False Expected: 10, Actual: 20 False 20 0.10033369064331055 Size: 4 Test 030-slt: False Expected: 10, Actual: 20 False 20 0.10028910636901855 Size: 0 Test 023-lbu: False Expected: 10, Actual: 20 False 20 0.10033535957336426 Size: 5 Test 000-addi: False Expected: 10, Actual: 20 False 20 0.10034012794494629 [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] } Lock released on resource [Resource: colorlight_i9] [Pipeline] // lock [Pipeline] } [Pipeline] // stage [Pipeline] } Final configuration file generated at /var/jenkins_home/workspace/Risco-5/Risco-5/build_digilent_arty_a7_100t.tcl Makefile executed successfully. Makefile output: Building the Design... /eda/vivado/Vivado/2023.2/bin/vivado -mode batch -nolog -nojournal -source /var/jenkins_home/workspace/Risco-5/Risco-5/build_digilent_arty_a7_100t.tcl -tclargs "ID=0x6a6a6a6a" "CLOCK_FREQ=50000000" "MEMORY_SIZE=4096" ****** Vivado v2023.2 (64-bit) **** SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 **** IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 **** SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. source /var/jenkins_home/workspace/Risco-5/Risco-5/build_digilent_arty_a7_100t.tcl # read_verilog /eda/processor_ci/rtl/Risco-5.v read_verilog: Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 1308.082 ; gain = 0.023 ; free physical = 183 ; free virtual = 25434 # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v # read_verilog /var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v # read_verilog /eda/processor-ci-controller/modules/uart.v # read_verilog /eda/processor-ci-controller/modules/UART/rtl/uart_rx.v # read_verilog /eda/processor-ci-controller/modules/UART/rtl/uart_tx.v # read_verilog /eda/processor-ci-controller/src/fifo.v # read_verilog /eda/processor-ci-controller/src/reset.v # read_verilog /eda/processor-ci-controller/src/clk_divider.v # read_verilog /eda/processor-ci-controller/src/memory.v # read_verilog /eda/processor-ci-controller/src/interpreter.v # read_verilog /eda/processor-ci-controller/src/controller.v # set ID [lindex $argv 0] # set CLOCK_FREQ [lindex $argv 1] # set MEMORY_SIZE [lindex $argv 2] # set HIGH_CLK 1 # read_xdc "/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc" # set_property PROCESSING_ORDER EARLY [get_files /eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] # synth_design -top "processorci_top" -part "xc7a100tcsg324-1" -verilog_define $ID -verilog_define $CLOCK_FREQ -verilog_define $MEMORY_SIZE \ # -verilog_define $HIGH_CLK Command: synth_design -top processorci_top -part xc7a100tcsg324-1 -verilog_define ID=0x6a6a6a6a -verilog_define CLOCK_FREQ=50000000 -verilog_define MEMORY_SIZE=4096 -verilog_define 1 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' INFO: [Device 21-403] Loading part xc7a100tcsg324-1 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes INFO: [Synth 8-7075] Helper process launched with PID 2546587 --------------------------------------------------------------------------------- Starting RTL Elaboration : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2033.750 ; gain = 405.629 ; free physical = 163 ; free virtual = 24278 --------------------------------------------------------------------------------- INFO: [Synth 8-11241] undeclared symbol 'reset_o', assumed default net type 'wire' [/eda/processor_ci/rtl/Risco-5.v:54] WARNING: [Synth 8-8895] 'reset_o' is already implicitly declared on line 54 [/eda/processor_ci/rtl/Risco-5.v:143] INFO: [Synth 8-11241] undeclared symbol 'pc_source', assumed default net type 'wire' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:197] WARNING: [Synth 8-6901] identifier 'PAYLOAD_BITS' is used before its declaration [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:16] WARNING: [Synth 8-6901] identifier 'PAYLOAD_BITS' is used before its declaration [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:16] WARNING: [Synth 8-11065] parameter 'INIT' becomes localparam in 'ResetBootSystem' with formal parameter declaration list [/eda/processor-ci-controller/src/reset.v:15] WARNING: [Synth 8-11065] parameter 'RESET_COUNTER' becomes localparam in 'ResetBootSystem' with formal parameter declaration list [/eda/processor-ci-controller/src/reset.v:16] WARNING: [Synth 8-11065] parameter 'IDLE' becomes localparam in 'ResetBootSystem' with formal parameter declaration list [/eda/processor-ci-controller/src/reset.v:17] WARNING: [Synth 8-6901] identifier 'bus_mode' is used before its declaration [/eda/processor-ci-controller/src/controller.v:84] WARNING: [Synth 8-6901] identifier 'memory_page_number' is used before its declaration [/eda/processor-ci-controller/src/controller.v:85] INFO: [Synth 8-6157] synthesizing module 'processorci_top' [/eda/processor_ci/rtl/Risco-5.v:1] INFO: [Synth 8-6157] synthesizing module 'Controller' [/eda/processor-ci-controller/src/controller.v:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter BIT_RATE bound to: 115200 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer Parameter BUFFER_SIZE bound to: 8 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer Parameter BUS_WIDTH bound to: 32 - type: integer Parameter WORD_SIZE_BY bound to: 4 - type: integer Parameter ID bound to: 0 - type: integer Parameter RESET_CLK_CYCLES bound to: 20 - type: integer Parameter MEMORY_FILE bound to: (null) - type: string Parameter MEMORY_SIZE bound to: 4096 - type: integer INFO: [Synth 8-6157] synthesizing module 'ClkDivider' [/eda/processor-ci-controller/src/clk_divider.v:1] Parameter COUNTER_BITS bound to: 32 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer INFO: [Synth 8-6155] done synthesizing module 'ClkDivider' (0#1) [/eda/processor-ci-controller/src/clk_divider.v:1] INFO: [Synth 8-6157] synthesizing module 'Interpreter' [/eda/processor-ci-controller/src/interpreter.v:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer Parameter BUS_WIDTH bound to: 32 - type: integer Parameter ID bound to: 0 - type: integer Parameter RESET_CLK_CYCLES bound to: 20 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Interpreter' (0#1) [/eda/processor-ci-controller/src/interpreter.v:1] INFO: [Synth 8-6157] synthesizing module 'UART' [/eda/processor-ci-controller/modules/uart.v:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter BIT_RATE bound to: 115200 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer Parameter BUFFER_SIZE bound to: 8 - type: integer Parameter WORD_SIZE_BY bound to: 4 - type: integer INFO: [Synth 8-226] default block is never used [/eda/processor-ci-controller/modules/uart.v:213] INFO: [Synth 8-6157] synthesizing module 'FIFO' [/eda/processor-ci-controller/src/fifo.v:1] Parameter DEPTH bound to: 8 - type: integer Parameter WIDTH bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'FIFO' (0#1) [/eda/processor-ci-controller/src/fifo.v:1] INFO: [Synth 8-6157] synthesizing module 'uart_rx' [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:9] Parameter BIT_RATE bound to: 115200 - type: integer Parameter CLK_HZ bound to: 50000000 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'uart_rx' (0#1) [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:9] INFO: [Synth 8-6157] synthesizing module 'uart_tx' [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:10] Parameter BIT_RATE bound to: 115200 - type: integer Parameter CLK_HZ bound to: 50000000 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'uart_tx' (0#1) [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:10] INFO: [Synth 8-6155] done synthesizing module 'UART' (0#1) [/eda/processor-ci-controller/modules/uart.v:1] INFO: [Synth 8-6157] synthesizing module 'Memory' [/eda/processor-ci-controller/src/memory.v:1] Parameter MEMORY_FILE bound to: (null) - type: string Parameter MEMORY_SIZE bound to: 4096 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Memory' (0#1) [/eda/processor-ci-controller/src/memory.v:1] WARNING: [Synth 8-7071] port 'read_sync' of module 'Memory' is unconnected for instance 'Data_Memory' [/eda/processor-ci-controller/src/controller.v:268] WARNING: [Synth 8-7071] port 'sync_write_response' of module 'Memory' is unconnected for instance 'Data_Memory' [/eda/processor-ci-controller/src/controller.v:268] WARNING: [Synth 8-7071] port 'sync_read_response' of module 'Memory' is unconnected for instance 'Data_Memory' [/eda/processor-ci-controller/src/controller.v:268] WARNING: [Synth 8-7023] instance 'Data_Memory' of module 'Memory' has 11 connections declared, but only 8 given [/eda/processor-ci-controller/src/controller.v:268] INFO: [Synth 8-6155] done synthesizing module 'Controller' (0#1) [/eda/processor-ci-controller/src/controller.v:1] INFO: [Synth 8-6157] synthesizing module 'Core' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:2] Parameter BOOT_ADDRESS bound to: 0 - type: integer INFO: [Synth 8-6157] synthesizing module 'PC' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:1] INFO: [Synth 8-6155] done synthesizing module 'PC' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/pc.v:1] INFO: [Synth 8-6157] synthesizing module 'MUX' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:1] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:15] INFO: [Synth 8-6155] done synthesizing module 'MUX' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mux.v:1] WARNING: [Synth 8-7071] port 'C' of module 'MUX' is unconnected for instance 'MemoryAddressMUX' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:84] WARNING: [Synth 8-7071] port 'D' of module 'MUX' is unconnected for instance 'MemoryAddressMUX' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:84] WARNING: [Synth 8-7071] port 'E' of module 'MUX' is unconnected for instance 'MemoryAddressMUX' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:84] WARNING: [Synth 8-7071] port 'F' of module 'MUX' is unconnected for instance 'MemoryAddressMUX' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:84] WARNING: [Synth 8-7071] port 'G' of module 'MUX' is unconnected for instance 'MemoryAddressMUX' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:84] WARNING: [Synth 8-7071] port 'H' of module 'MUX' is unconnected for instance 'MemoryAddressMUX' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:84] WARNING: [Synth 8-7023] instance 'MemoryAddressMUX' of module 'MUX' has 10 connections declared, but only 4 given [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:84] INFO: [Synth 8-6157] synthesizing module 'MDU' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:6] INFO: [Synth 8-6155] done synthesizing module 'MDU' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/mdu.v:6] INFO: [Synth 8-6157] synthesizing module 'Registers' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:2] INFO: [Synth 8-6155] done synthesizing module 'Registers' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/registers.v:2] INFO: [Synth 8-6157] synthesizing module 'Control_Unit' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:2] INFO: [Synth 8-155] case statement is not full and has no default [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:171] WARNING: [Synth 8-6090] variable 'mdu_start' is written by both blocking and non-blocking assignments, entire logic could be removed [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:678] INFO: [Synth 8-155] case statement is not full and has no default [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:383] INFO: [Synth 8-6155] done synthesizing module 'Control_Unit' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/control_unit.v:2] INFO: [Synth 8-6157] synthesizing module 'ALU_Control' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:1] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:34] INFO: [Synth 8-155] case statement is not full and has no default [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:11] INFO: [Synth 8-6155] done synthesizing module 'ALU_Control' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu_control.v:1] INFO: [Synth 8-6157] synthesizing module 'Alu' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:1] INFO: [Synth 8-6155] done synthesizing module 'Alu' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/alu.v:1] INFO: [Synth 8-6157] synthesizing module 'Immediate_Generator' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v:1] INFO: [Synth 8-6155] done synthesizing module 'Immediate_Generator' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/immediate_generator.v:1] INFO: [Synth 8-6157] synthesizing module 'CSR_Unit' [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:3] INFO: [Synth 8-155] case statement is not full and has no default [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:119] INFO: [Synth 8-6155] done synthesizing module 'CSR_Unit' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/csr_unit.v:3] INFO: [Synth 8-6155] done synthesizing module 'Core' (0#1) [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:2] WARNING: [Synth 8-7071] port 'halt' of module 'Core' is unconnected for instance 'Core' [/eda/processor_ci/rtl/Risco-5.v:92] WARNING: [Synth 8-7071] port 'interruption_request_external' of module 'Core' is unconnected for instance 'Core' [/eda/processor_ci/rtl/Risco-5.v:92] WARNING: [Synth 8-7071] port 'interruption_request_timer' of module 'Core' is unconnected for instance 'Core' [/eda/processor_ci/rtl/Risco-5.v:92] WARNING: [Synth 8-7071] port 'interruption_request_software' of module 'Core' is unconnected for instance 'Core' [/eda/processor_ci/rtl/Risco-5.v:92] WARNING: [Synth 8-7071] port 'interruption_request_fast' of module 'Core' is unconnected for instance 'Core' [/eda/processor_ci/rtl/Risco-5.v:92] WARNING: [Synth 8-7023] instance 'Core' of module 'Core' has 14 connections declared, but only 9 given [/eda/processor_ci/rtl/Risco-5.v:92] INFO: [Synth 8-6157] synthesizing module 'ResetBootSystem' [/eda/processor-ci-controller/src/reset.v:1] Parameter CYCLES bound to: 20 - type: integer INFO: [Synth 8-6155] done synthesizing module 'ResetBootSystem' (0#1) [/eda/processor-ci-controller/src/reset.v:1] WARNING: [Synth 8-7071] port 'start' of module 'ResetBootSystem' is unconnected for instance 'ResetBootSystem' [/eda/processor_ci/rtl/Risco-5.v:147] WARNING: [Synth 8-7071] port 'resetn_o' of module 'ResetBootSystem' is unconnected for instance 'ResetBootSystem' [/eda/processor_ci/rtl/Risco-5.v:147] WARNING: [Synth 8-7023] instance 'ResetBootSystem' of module 'ResetBootSystem' has 4 connections declared, but only 2 given [/eda/processor_ci/rtl/Risco-5.v:147] INFO: [Synth 8-6155] done synthesizing module 'processorci_top' (0#1) [/eda/processor_ci/rtl/Risco-5.v:1] WARNING: [Synth 8-3848] Net intr in module/entity Controller does not have driver. [/eda/processor-ci-controller/src/controller.v:25] WARNING: [Synth 8-3848] Net temp_write_value in module/entity Core does not have driver. [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:44] WARNING: [Synth 8-3848] Net temp_address in module/entity Core does not have driver. [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:43] WARNING: [Synth 8-3848] Net memory_saved_value in module/entity Core does not have driver. [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:43] WARNING: [Synth 8-3848] Net alu_saved_value in module/entity Core does not have driver. [/var/jenkins_home/workspace/Risco-5/Risco-5/src/core/core.v:44] WARNING: [Synth 8-3848] Net miso in module/entity processorci_top does not have driver. [/eda/processor_ci/rtl/Risco-5.v:22] WARNING: [Synth 8-7129] Port func3[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port func3[1] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port func3[0] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port csr_immediate[4] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port csr_immediate[3] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port csr_immediate[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port csr_immediate[1] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port csr_immediate[0] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_external in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_timer in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_software in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[15] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[14] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[13] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[12] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[11] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[10] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[9] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[8] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[7] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[6] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[5] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[4] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[3] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[1] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port interruption_request_fast[0] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[31] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[30] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[29] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[28] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[27] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[26] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[25] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[24] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[23] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[22] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[21] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[20] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[19] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[18] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[17] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[16] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[15] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[14] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[13] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[12] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[11] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[10] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[9] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[8] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[7] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[6] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[5] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[4] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[3] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[1] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port pc_value[0] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port func7[6] in module ALU_Control is either unconnected or has no load WARNING: [Synth 8-7129] Port func7[4] in module ALU_Control is either unconnected or has no load WARNING: [Synth 8-7129] Port func7[3] in module ALU_Control is either unconnected or has no load WARNING: [Synth 8-7129] Port func7[2] in module ALU_Control is either unconnected or has no load WARNING: [Synth 8-7129] Port func7[1] in module ALU_Control is either unconnected or has no load WARNING: [Synth 8-7129] Port func7[0] in module ALU_Control is either unconnected or has no load WARNING: [Synth 8-7129] Port halt in module Core is either unconnected or has no load WARNING: [Synth 8-7129] Port reset in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[31] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[30] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[29] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[28] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[27] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[26] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[25] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[24] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[23] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[22] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[21] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[20] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[19] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[18] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[17] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[16] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[15] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[14] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[13] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[12] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[1] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port address[0] in module Memory is either unconnected or has no load WARNING: [Synth 8-7129] Port communication_tx_empty in module Interpreter is either unconnected or has no load WARNING: [Synth 8-7129] Port memory_response in module Interpreter is either unconnected or has no load WARNING: [Synth 8-7129] Port intr in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port sck in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port cs in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port mosi in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port miso in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port rw in module Controller is either unconnected or has no load WARNING: [Synth 8-7129] Port reset in module processorci_top is either unconnected or has no load --------------------------------------------------------------------------------- Finished RTL Elaboration : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2128.719 ; gain = 500.598 ; free physical = 162 ; free virtual = 24130 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 2143.562 ; gain = 515.441 ; free physical = 153 ; free virtual = 24121 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:17 . Memory (MB): peak = 2143.562 ; gain = 515.441 ; free physical = 153 ; free virtual = 24121 --------------------------------------------------------------------------------- Netlist sorting complete. Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.09 . Memory (MB): peak = 2143.562 ; gain = 0.000 ; free physical = 185 ; free virtual = 24131 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] WARNING: [Vivado 12-507] No nets matched 'sck_IBUF'. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. Finished Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/processorci_top_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/processorci_top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2295.312 ; gain = 0.000 ; free physical = 321 ; free virtual = 24284 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. Constraint Validation Runtime : Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2295.348 ; gain = 0.000 ; free physical = 287 ; free virtual = 24250 --------------------------------------------------------------------------------- Finished Constraint Validation : Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 160 ; free virtual = 24059 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a100tcsg324-1 --------------------------------------------------------------------------------- Finished Loading Part and Timing Information : Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 160 ; free virtual = 24059 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:35 ; elapsed = 00:00:35 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 160 ; free virtual = 24059 --------------------------------------------------------------------------------- INFO: [Synth 8-802] inferred FSM for state register 'fsm_state_reg' in module 'uart_rx' INFO: [Synth 8-802] inferred FSM for state register 'fsm_state_reg' in module 'uart_tx' INFO: [Synth 8-802] inferred FSM for state register 'state_read_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'state_write_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'tx_fifo_read_state_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'state_mul_reg' in module 'MDU' INFO: [Synth 8-802] inferred FSM for state register 'state_div_reg' in module 'MDU' INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'Control_Unit' INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'ResetBootSystem' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- FSM_IDLE | 00 | 000 FSM_START | 11 | 001 FSM_RECV | 10 | 010 FSM_STOP | 01 | 011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'fsm_state_reg' using encoding 'sequential' in module 'uart_rx' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- FSM_IDLE | 00 | 000 FSM_START | 11 | 001 FSM_SEND | 10 | 010 FSM_STOP | 01 | 011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'fsm_state_reg' using encoding 'sequential' in module 'uart_tx' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 000 | 0000 READ | 001 | 0001 COPY_READ_BUFFER | 010 | 0100 WB | 011 | 0010 FINISH | 100 | 0011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_read_reg' using encoding 'sequential' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 000 | 0000 COPY_WRITE_BUFFER | 001 | 0100 WRITE | 010 | 0001 WB | 011 | 0010 FINISH | 100 | 0011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_write_reg' using encoding 'sequential' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- iSTATE | 0001 | 00 iSTATE0 | 0010 | 01 iSTATE1 | 0100 | 10 iSTATE2 | 1000 | 11 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'tx_fifo_read_state_reg' using encoding 'one-hot' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 001 | 00 OPERATE | 010 | 01 FINISH | 100 | 10 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_mul_reg' using encoding 'one-hot' in module 'MDU' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- FETCH | 00000000000000000000000000000000000000000000000001 | 000000 VALIDATE_FETCH | 00000000000000000000000000000000000000000000000010 | 101110 DECODE | 00000000000000000000000000000000000000000000000100 | 000001 MEMADR | 00000000000000000000000000000000000000000000001000 | 000010 MEMREAD_UNALIGNED | 00000000000000000000000000000000000000000000010000 | 010110 LOAD_FIRST_BLOCK | 00000000000000000000000000000000000000000000100000 | 010000 SAVE_FIRST_BLOCK | 00000000000000000000000000000000000000000001000000 | 010001 CALC_NEXT_ADDRESS | 00000000000000000000000000000000000000000010000000 | 010010 READ_SECOND_BLOCK | 00000000000000000000000000000000000000000100000000 | 010011 LOAD_SECOND_BLOCK | 00000000000000000000000000000000000000001000000000 | 010100 MERGE_BLOCKS | 00000000000000000000000000000000000000010000000000 | 010101 FILTER_ALU_WB | 00000000000000000000000000000000000000100000000000 | 010111 MEMREAD | 00000000000000000000000000000000000001000000000000 | 000011 MEMWB | 00000000000000000000000000000000000010000000000000 | 000100 MEMWRITE_UNALIGNED | 00000000000000000000000000000000000100000000000000 | 011000 GEN_FIRST_BLOCK_PART_1 | 00000000000000000000000000000000001000000000000000 | 011001 GEN_FIRST_BLOCK_PART_2 | 00000000000000000000000000000000010000000000000000 | 011010 GEN_SECOND_BLOCK_PART_1 | 00000000000000000000000000000000100000000000000000 | 011011 GEN_SECOND_BLOCK_PART_2 | 00000000000000000000000000000001000000000000000000 | 011100 MERGE_WRITE_BLOCKS | 00000000000000000000000000000010000000000000000000 | 011101 SWAP_VALUE_DIRECTION | 00000000000000000000000000000100000000000000000000 | 011110 CLEAR_VALUE_HALF_BYTE_ONE_BLOCK | 00000000000000000000000000001000000000000000000000 | 100010 CLEAR_VALUE_HALF_BYTE_ONE_BLOCK_2 | 00000000000000000000000000010000000000000000000000 | 100011 CLEAR_VALUE_HALF_BYTE_ONE_BLOCK_3 | 00000000000000000000000000100000000000000000000000 | 100100 CLEAR_VALUE | 00000000000000000000000001000000000000000000000000 | 011111 MERGE_WRITE_VALUE_1 | 00000000000000000000000010000000000000000000000000 | 100000 WRITE_VALUE_1 | 00000000000000000000000100000000000000000000000000 | 100001 CALC_SECOND_BLOCK_ADDRESS_TO_WRITE | 00000000000000000000001000000000000000000000000000 | 100101 READ_SECOND_BLOCK_TO_WRITE | 00000000000000000000010000000000000000000000000000 | 100110 LOAD_SECOND_BLOCK_TO_WRITE | 00000000000000000000100000000000000000000000000000 | 100111 LOAD_SECOND_BLOCK_TO_WRITE_2 | 00000000000000000001000000000000000000000000000000 | 101000 SWAP_VALUE_DIRECTION_2 | 00000000000000000010000000000000000000000000000000 | 101001 CLEAR_VALUE_PART_2 | 00000000000000000100000000000000000000000000000000 | 101010 CLEAR_VALUE_PART_2_1 | 00000000000000001000000000000000000000000000000000 | 101011 MERGE_WRITE_VALUE_2 | 00000000000000010000000000000000000000000000000000 | 101100 WRITE_VALUE_2 | 00000000000000100000000000000000000000000000000000 | 101101 MEMWRITE | 00000000000001000000000000000000000000000000000000 | 000101 EXECUTER | 00000000000010000000000000000000000000000000000000 | 000110 EXECUTE_MDU | 00000000000100000000000000000000000000000000000000 | 101111 MDU_WAIT | 00000000001000000000000000000000000000000000000000 | 110000 MDU_WB | 00000000010000000000000000000000000000000000000000 | 110001 EXECUTEI | 00000000100000000000000000000000000000000000000000 | 001000 JAL | 00000001000000000000000000000000000000000000000000 | 001001 BRANCH | 00000010000000000000000000000000000000000000000000 | 001010 AUIPC | 00000100000000000000000000000000000000000000000000 | 001100 LUI | 00001000000000000000000000000000000000000000000000 | 001101 JALR_PC | 00010000000000000000000000000000000000000000000000 | 001110 JALR | 00100000000000000000000000000000000000000000000000 | 001011 ALUWB | 01000000000000000000000000000000000000000000000000 | 000111 EXECUTECSR | 10000000000000000000000000000000000000000000000000 | 001111 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'one-hot' in module 'Control_Unit' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- RESET_COUNTER | 00 | 01 IDLE | 01 | 10 INIT | 10 | 00 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'sequential' in module 'ResetBootSystem' --------------------------------------------------------------------------------- Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 160 ; free virtual = 24050 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---Adders : 2 Input 64 Bit Adders := 2 2 Input 32 Bit Adders := 11 3 Input 32 Bit Adders := 2 2 Input 24 Bit Adders := 2 2 Input 10 Bit Adders := 2 2 Input 8 Bit Adders := 1 2 Input 6 Bit Adders := 4 2 Input 5 Bit Adders := 1 2 Input 4 Bit Adders := 2 2 Input 3 Bit Adders := 3 2 Input 2 Bit Adders := 1 +---XORs : 2 Input 32 Bit XORs := 1 +---XORs : 2 Bit Wide XORs := 1 +---Registers : 64 Bit Registers := 6 32 Bit Registers := 65 24 Bit Registers := 5 10 Bit Registers := 2 8 Bit Registers := 11 6 Bit Registers := 1 4 Bit Registers := 2 3 Bit Registers := 2 1 Bit Registers := 31 +---Multipliers : 32x32 Multipliers := 1 +---RAMs : 32K Bit (1024 X 32 bit) RAMs := 2 64 Bit (8 X 8 bit) RAMs := 2 +---Muxes : 4 Input 64 Bit Muxes := 3 2 Input 64 Bit Muxes := 1 48 Input 64 Bit Muxes := 2 50 Input 50 Bit Muxes := 1 2 Input 50 Bit Muxes := 19 11 Input 50 Bit Muxes := 1 2 Input 32 Bit Muxes := 22 5 Input 32 Bit Muxes := 1 4 Input 32 Bit Muxes := 3 8 Input 32 Bit Muxes := 1 15 Input 32 Bit Muxes := 1 48 Input 24 Bit Muxes := 1 48 Input 8 Bit Muxes := 2 2 Input 8 Bit Muxes := 4 24 Input 7 Bit Muxes := 1 2 Input 7 Bit Muxes := 2 2 Input 6 Bit Muxes := 4 3 Input 6 Bit Muxes := 1 2 Input 5 Bit Muxes := 2 2 Input 4 Bit Muxes := 5 50 Input 4 Bit Muxes := 1 9 Input 4 Bit Muxes := 1 10 Input 4 Bit Muxes := 1 23 Input 4 Bit Muxes := 1 5 Input 3 Bit Muxes := 5 2 Input 3 Bit Muxes := 7 3 Input 3 Bit Muxes := 1 4 Input 3 Bit Muxes := 2 50 Input 3 Bit Muxes := 3 10 Input 3 Bit Muxes := 1 2 Input 2 Bit Muxes := 17 48 Input 2 Bit Muxes := 1 4 Input 2 Bit Muxes := 5 50 Input 2 Bit Muxes := 2 3 Input 2 Bit Muxes := 1 2 Input 1 Bit Muxes := 89 48 Input 1 Bit Muxes := 22 3 Input 1 Bit Muxes := 7 4 Input 1 Bit Muxes := 7 5 Input 1 Bit Muxes := 11 50 Input 1 Bit Muxes := 12 6 Input 1 Bit Muxes := 5 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: DSPs: 240 (col length:80) BRAMs: 270 (col length: RAMB18 80 RAMB36 40) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met DSP Report: Generating DSP acumulador0, operation Mode is: A2*B. DSP Report: register acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: Generating DSP acumulador_reg, operation Mode is: (PCIN>>17)+A*B. DSP Report: register acumulador_reg is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. DSP Report: Generating DSP acumulador0, operation Mode is: A2*B2. DSP Report: register acumulador0 is absorbed into DSP acumulador0. DSP Report: register acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: Generating DSP acumulador_reg, operation Mode is: (PCIN>>17)+A2*B. DSP Report: register acumulador_reg is absorbed into DSP acumulador_reg. DSP Report: register acumulador_reg is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. WARNING: [Synth 8-7129] Port func3[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port func3[1] in module CSR_Unit is either unconnected or has no load INFO: [Common 17-14] Message 'Synth 8-7129' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[47]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[46]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[45]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[44]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[43]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[42]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[41]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[40]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[39]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[38]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[37]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[36]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[35]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[34]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[33]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[32]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[31]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[30]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[29]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[28]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[27]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[26]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[25]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[24]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[23]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[22]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[21]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[20]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[19]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[18]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[17]) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[47]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[46]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[45]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[44]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[43]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[42]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[41]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[40]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[39]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[38]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[37]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[36]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[35]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[34]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[33]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[32]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[31]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[30]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[29]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[28]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[27]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[26]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[25]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[24]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[23]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[22]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[21]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[20]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[19]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[18]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (acumulador_reg[17]__0) is unused and will be removed from module MDU. WARNING: [Synth 8-3332] Sequential element (FSM_onehot_state_reg[22]) is unused and will be removed from module Control_Unit. --------------------------------------------------------------------------------- Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:01:24 ; elapsed = 00:01:25 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 164 ; free virtual = 23888 --------------------------------------------------------------------------------- Sort Area is acumulador0_3 : 0 0 : 2737 4966 : Used 1 time 0 Sort Area is acumulador0_3 : 0 1 : 2229 4966 : Used 1 time 0 Sort Area is acumulador0_0 : 0 0 : 2176 4080 : Used 1 time 0 Sort Area is acumulador0_0 : 0 1 : 1904 4080 : Used 1 time 0 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- ROM: Preliminary Mapping Report +------------+---------------------+---------------+----------------+ |Module Name | RTL Object | Depth x Width | Implemented As | +------------+---------------------+---------------+----------------+ |Interpreter | memory_mux_selector | 256x1 | LUT | |Interpreter | memory_mux_selector | 256x1 | LUT | +------------+---------------------+---------------+----------------+ Distributed RAM: Preliminary Mapping Report (see note below) +----------------+------------------------------------+-----------+----------------------+------------------+ |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | +----------------+------------------------------------+-----------+----------------------+------------------+ |processorci_top | Controller/Uart/TX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | Controller/Uart/RX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | Controller/Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | |processorci_top | Controller/Data_Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | +----------------+------------------------------------+-----------+----------------------+------------------+ Note: The table above is a preliminary report that shows the Distributed RAMs at the current stage of the synthesis flow. Some Distributed RAMs may be reimplemented as non Distributed RAM primitives later in the synthesis flow. Multiple instantiated RAMs are reported only once. DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) +------------+-----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | +------------+-----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |MDU | A2*B | 18 | 15 | - | - | 48 | 1 | 0 | - | - | - | 0 | 0 | |MDU | (PCIN>>17)+A*B | 15 | 15 | - | - | 48 | 0 | 0 | - | - | - | 0 | 1 | |MDU | A2*B2 | 18 | 18 | - | - | 48 | 1 | 1 | - | - | - | 0 | 0 | |MDU | (PCIN>>17)+A2*B | 18 | 15 | - | - | 48 | 1 | 0 | - | - | - | 0 | 1 | +------------+-----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:39 ; elapsed = 00:01:40 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 170 ; free virtual = 23894 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Timing Optimization : Time (s): cpu = 00:02:02 ; elapsed = 00:02:03 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 169 ; free virtual = 23854 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- Distributed RAM: Final Mapping Report +----------------+------------------------------------+-----------+----------------------+------------------+ |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | +----------------+------------------------------------+-----------+----------------------+------------------+ |processorci_top | Controller/Uart/TX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | Controller/Uart/RX_FIFO/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | Controller/Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | |processorci_top | Controller/Data_Memory/memory_reg | Implied | 1 K x 32 | RAM256X1S x 128 | +----------------+------------------------------------+-----------+----------------------+------------------+ --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Technology Mapping : Time (s): cpu = 00:02:10 ; elapsed = 00:02:12 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 151 ; free virtual = 23831 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished IO Insertion : Time (s): cpu = 00:02:19 ; elapsed = 00:02:21 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 171 ; free virtual = 23847 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Instances : Time (s): cpu = 00:02:19 ; elapsed = 00:02:21 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 171 ; free virtual = 23847 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Rebuilding User Hierarchy : Time (s): cpu = 00:02:22 ; elapsed = 00:02:23 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 179 ; free virtual = 23854 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Ports : Time (s): cpu = 00:02:22 ; elapsed = 00:02:23 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 179 ; free virtual = 23854 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:02:22 ; elapsed = 00:02:24 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 179 ; free virtual = 23855 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Nets : Time (s): cpu = 00:02:22 ; elapsed = 00:02:24 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 179 ; free virtual = 23855 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- DSP Final Report (the ' indicates corresponding REG is set) +------------+---------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | +------------+---------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |MDU | A''*B'' | 17 | 18 | - | - | 48 | 2 | 2 | - | - | - | 0 | 0 | |MDU | (PCIN>>17+A''*B'')' | 30 | 18 | - | - | 48 | 2 | 2 | - | - | - | 0 | 1 | |MDU | A''*B'' | 17 | 17 | - | - | 48 | 2 | 2 | - | - | - | 0 | 0 | |MDU | (PCIN>>17+A''*B'')' | 17 | 18 | - | - | 48 | 2 | 2 | - | - | - | 0 | 1 | +------------+---------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ Report BlackBoxes: +-+--------------+----------+ | |BlackBox name |Instances | +-+--------------+----------+ +-+--------------+----------+ Report Cell Usage: +------+----------+------+ | |Cell |Count | +------+----------+------+ |1 |BUFG | 2| |2 |CARRY4 | 176| |3 |DSP48E1 | 4| |5 |LUT1 | 172| |6 |LUT2 | 456| |7 |LUT3 | 440| |8 |LUT4 | 248| |9 |LUT5 | 399| |10 |LUT6 | 1831| |11 |MUXF7 | 459| |12 |MUXF8 | 1| |13 |RAM256X1S | 256| |14 |RAM32M | 2| |15 |RAM32X1D | 4| |16 |FDRE | 2546| |17 |FDSE | 7| |18 |IBUF | 2| |19 |OBUF | 1| |20 |OBUFT | 2| +------+----------+------+ --------------------------------------------------------------------------------- Finished Writing Synthesis Report : Time (s): cpu = 00:02:22 ; elapsed = 00:02:24 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 179 ; free virtual = 23855 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 156 warnings. Synthesis Optimization Runtime : Time (s): cpu = 00:02:17 ; elapsed = 00:02:19 . Memory (MB): peak = 2295.348 ; gain = 515.441 ; free physical = 179 ; free virtual = 23855 Synthesis Optimization Complete : Time (s): cpu = 00:02:23 ; elapsed = 00:02:24 . Memory (MB): peak = 2295.348 ; gain = 667.227 ; free physical = 179 ; free virtual = 23855 INFO: [Project 1-571] Translating synthesized netlist Netlist sorting complete. Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2295.348 ; gain = 0.000 ; free physical = 500 ; free virtual = 24176 INFO: [Netlist 29-17] Analyzing 902 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] WARNING: [Vivado 12-507] No nets matched 'sck_IBUF'. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. Finished Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2367.344 ; gain = 0.000 ; free physical = 496 ; free virtual = 24172 INFO: [Project 1-111] Unisim Transformation Summary: A total of 262 instances were transformed. RAM256X1S => RAM256X1S (MUXF7(x2), MUXF8, RAMS64E(x4)): 256 instances RAM32M => RAM32M (RAMD32(x6), RAMS32(x2)): 2 instances RAM32X1D => RAM32X1D (RAMD32(x2)): 4 instances Synth Design complete | Checksum: 2ec83021 INFO: [Common 17-83] Releasing license: Synthesis 82 Infos, 201 Warnings, 2 Critical Warnings and 0 Errors encountered. synth_design completed successfully synth_design: Time (s): cpu = 00:02:41 ; elapsed = 00:02:38 . Memory (MB): peak = 2367.379 ; gain = 1059.297 ; free physical = 492 ; free virtual = 24167 INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2129.114; main = 1796.595; forked = 473.658 INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3278.742; main = 2367.348; forked = 1007.441 # opt_design Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command opt_design Starting DRC Task INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2431.375 ; gain = 63.996 ; free physical = 492 ; free virtual = 24168 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. Ending Cache Timing Information Task | Checksum: fd9f535c Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 2490.195 ; gain = 58.820 ; free physical = 465 ; free virtual = 24141 Starting Logic Optimization Task Phase 1 Initialization Phase 1.1 Core Generation And Design Setup Phase 1.1 Core Generation And Design Setup | Checksum: fd9f535c Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 193 ; free virtual = 23869 Phase 1.2 Setup Constraints And Sort Netlist Phase 1.2 Setup Constraints And Sort Netlist | Checksum: fd9f535c Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 192 ; free virtual = 23868 Phase 1 Initialization | Checksum: fd9f535c Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 191 ; free virtual = 23867 Phase 2 Timer Update And Timing Data Collection Phase 2.1 Timer Update Phase 2.1 Timer Update | Checksum: fd9f535c Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.6 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 192 ; free virtual = 23868 Phase 2.2 Timing Data Collection Phase 2.2 Timing Data Collection | Checksum: fd9f535c Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.63 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 189 ; free virtual = 23865 Phase 2 Timer Update And Timing Data Collection | Checksum: fd9f535c Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.63 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 189 ; free virtual = 23865 Phase 3 Retarget INFO: [Opt 31-1566] Pulled 6 inverters resulting in an inversion of 18 pins INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). Phase 3 Retarget | Checksum: 112c910ac Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.94 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 195 ; free virtual = 23871 Retarget | Checksum: 112c910ac INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 6 cells Phase 4 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Phase 4 Constant propagation | Checksum: 1c136aa80 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Constant propagation | Checksum: 1c136aa80 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 5 Sweep Phase 5 Sweep | Checksum: 1a25ec48d Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2737.164 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Sweep | Checksum: 1a25ec48d INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells Phase 6 BUFG optimization Phase 6 BUFG optimization | Checksum: 1a25ec48d Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2769.180 ; gain = 32.016 ; free physical = 194 ; free virtual = 23870 BUFG optimization | Checksum: 1a25ec48d INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. Phase 7 Shift Register Optimization INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs Phase 7 Shift Register Optimization | Checksum: 1a25ec48d Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2769.180 ; gain = 32.016 ; free physical = 194 ; free virtual = 23870 Shift Register Optimization | Checksum: 1a25ec48d INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 8 Post Processing Netlist Phase 8 Post Processing Netlist | Checksum: 1a25ec48d Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2769.180 ; gain = 32.016 ; free physical = 194 ; free virtual = 23870 Post Processing Netlist | Checksum: 1a25ec48d INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Phase 9 Finalization Phase 9.1 Finalizing Design Cores and Updating Shapes Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 130f4a278 Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2769.180 ; gain = 32.016 ; free physical = 194 ; free virtual = 23870 Phase 9.2 Verifying Netlist Connectivity Starting Connectivity Check Task Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2769.180 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Phase 9.2 Verifying Netlist Connectivity | Checksum: 130f4a278 Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2769.180 ; gain = 32.016 ; free physical = 194 ; free virtual = 23870 Phase 9 Finalization | Checksum: 130f4a278 Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2769.180 ; gain = 32.016 ; free physical = 194 ; free virtual = 23870 Opt_design Change Summary ========================= ------------------------------------------------------------------------------------------------------------------------- | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | ------------------------------------------------------------------------------------------------------------------------- | Retarget | 0 | 6 | 0 | | Constant propagation | 0 | 0 | 0 | | Sweep | 0 | 0 | 0 | | BUFG optimization | 0 | 0 | 0 | | Shift Register Optimization | 0 | 0 | 0 | | Post Processing Netlist | 0 | 0 | 0 | ------------------------------------------------------------------------------------------------------------------------- Ending Logic Optimization Task | Checksum: 130f4a278 Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2769.180 ; gain = 32.016 ; free physical = 194 ; free virtual = 23870 INFO: [Constraints 18-11670] Building netlist checker database with flags, 0x8 Done building netlist checker database: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2769.180 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. Ending Power Optimization Task | Checksum: 130f4a278 Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2769.180 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Starting Final Cleanup Task Ending Final Cleanup Task | Checksum: 130f4a278 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2769.180 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Starting Netlist Obfuscation Task Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2769.180 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Ending Netlist Obfuscation Task | Checksum: 130f4a278 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2769.180 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 INFO: [Common 17-83] Releasing license: Implementation 19 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully opt_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:15 . Memory (MB): peak = 2769.180 ; gain = 401.801 ; free physical = 194 ; free virtual = 23870 # place_design Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-83] Releasing license: Implementation INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. Running DRC as a precondition to command place_design INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs Starting Placer Task Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2801.195 ; gain = 0.000 ; free physical = 188 ; free virtual = 23864 Phase 1.1 Placer Initialization Netlist Sorting | Checksum: a7777071 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2801.195 ; gain = 0.000 ; free physical = 188 ; free virtual = 23864 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2801.195 ; gain = 0.000 ; free physical = 187 ; free virtual = 23863 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 149e21c91 Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2801.195 ; gain = 0.000 ; free physical = 194 ; free virtual = 23870 Phase 1.3 Build Placer Netlist Model Phase 1.3 Build Placer Netlist Model | Checksum: 159a91c94 Time (s): cpu = 00:00:12 ; elapsed = 00:00:07 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 191 ; free virtual = 23867 Phase 1.4 Constrain Clocks/Macros Phase 1.4 Constrain Clocks/Macros | Checksum: 159a91c94 Time (s): cpu = 00:00:12 ; elapsed = 00:00:07 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 188 ; free virtual = 23864 Phase 1 Placer Initialization | Checksum: 159a91c94 Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 185 ; free virtual = 23861 Phase 2 Global Placement Phase 2.1 Floorplanning Phase 2.1 Floorplanning | Checksum: 18ff24549 Time (s): cpu = 00:00:16 ; elapsed = 00:00:09 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 189 ; free virtual = 23865 Phase 2.2 Update Timing before SLR Path Opt Phase 2.2 Update Timing before SLR Path Opt | Checksum: 17adfa402 Time (s): cpu = 00:00:19 ; elapsed = 00:00:11 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 188 ; free virtual = 23864 Phase 2.3 Post-Processing in Floorplanning Phase 2.3 Post-Processing in Floorplanning | Checksum: 17adfa402 Time (s): cpu = 00:00:19 ; elapsed = 00:00:11 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 184 ; free virtual = 23861 Phase 2.4 Global Placement Core Phase 2.4.1 UpdateTiming Before Physical Synthesis Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 134f72ef9 Time (s): cpu = 00:01:01 ; elapsed = 00:00:32 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 186 ; free virtual = 23863 Phase 2.4.2 Physical Synthesis In Placer INFO: [Physopt 32-1035] Found 64 LUTNM shape to break, 137 LUT instances to create LUTNM shape INFO: [Physopt 32-1044] Break lutnm for timing: one critical 5, two critical 59, total 64, new lutff created 0 INFO: [Physopt 32-1138] End 1 Pass. Optimized 122 nets or LUTs. Breaked 64 LUTs, combined 58 existing LUTs and moved 0 existing LUT INFO: [Physopt 32-65] No nets found for high-fanout optimization. INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-1401] No candidate cells found for Shift Register optimization. INFO: [Physopt 32-677] No candidate cells for Shift Register optimization found in the design INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 182 ; free virtual = 23859 Summary of Physical Synthesis Optimizations ============================================ ----------------------------------------------------------------------------------------------------------------------------------------------------------- | Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------------------------- | LUT Combining | 64 | 58 | 122 | 0 | 1 | 00:00:01 | | Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Total | 64 | 58 | 122 | 0 | 9 | 00:00:01 | ----------------------------------------------------------------------------------------------------------------------------------------------------------- Phase 2.4.2 Physical Synthesis In Placer | Checksum: 82efd306 Time (s): cpu = 00:01:06 ; elapsed = 00:00:35 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 185 ; free virtual = 23863 Phase 2.4 Global Placement Core | Checksum: 1573edd13 Time (s): cpu = 00:01:31 ; elapsed = 00:00:46 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 186 ; free virtual = 23863 Phase 2 Global Placement | Checksum: 1573edd13 Time (s): cpu = 00:01:31 ; elapsed = 00:00:46 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 186 ; free virtual = 23863 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros Phase 3.1 Commit Multi Column Macros | Checksum: 14cfe0e11 Time (s): cpu = 00:01:34 ; elapsed = 00:00:47 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 177 ; free virtual = 23854 Phase 3.2 Commit Most Macros & LUTRAMs Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 17503a6c1 Time (s): cpu = 00:01:40 ; elapsed = 00:00:51 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 185 ; free virtual = 23862 Phase 3.3 Area Swap Optimization Phase 3.3 Area Swap Optimization | Checksum: 18167845c Time (s): cpu = 00:01:40 ; elapsed = 00:00:51 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 185 ; free virtual = 23862 Phase 3.4 Pipeline Register Optimization Phase 3.4 Pipeline Register Optimization | Checksum: 19c3bf2e9 Time (s): cpu = 00:01:40 ; elapsed = 00:00:51 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 180 ; free virtual = 23858 Phase 3.5 Fast Optimization Phase 3.5 Fast Optimization | Checksum: 2081cf2c6 Time (s): cpu = 00:01:54 ; elapsed = 00:01:00 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 267 ; free virtual = 23855 Phase 3.6 Small Shape Detail Placement Phase 3.6 Small Shape Detail Placement | Checksum: 1b01f32a1 Time (s): cpu = 00:02:00 ; elapsed = 00:01:06 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 268 ; free virtual = 23856 Phase 3.7 Re-assign LUT pins Phase 3.7 Re-assign LUT pins | Checksum: 187f8f9b8 Time (s): cpu = 00:02:01 ; elapsed = 00:01:07 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 261 ; free virtual = 23849 Phase 3.8 Pipeline Register Optimization Phase 3.8 Pipeline Register Optimization | Checksum: 11d7c136c Time (s): cpu = 00:02:01 ; elapsed = 00:01:07 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 264 ; free virtual = 23851 Phase 3.9 Fast Optimization Phase 3.9 Fast Optimization | Checksum: da6b0ecc Time (s): cpu = 00:02:32 ; elapsed = 00:01:33 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23854 Phase 3 Detail Placement | Checksum: da6b0ecc Time (s): cpu = 00:02:32 ; elapsed = 00:01:34 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 265 ; free virtual = 23854 Phase 4 Post Placement Optimization and Clean-Up Phase 4.1 Post Commit Optimization INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 4.1.1 Post Placement Optimization Post Placement Optimization Initialization | Checksum: 11b538aa4 Phase 4.1.1.1 BUFG Insertion Starting Physical Synthesis Task Phase 1 Physical Synthesis Initialization INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.888 | TNS=-317.331 | Phase 1 Physical Synthesis Initialization | Checksum: 11ae5226d Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 269 ; free virtual = 23857 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. Ending Physical Synthesis Task | Checksum: 11ae5226d Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 268 ; free virtual = 23856 Phase 4.1.1.1 BUFG Insertion | Checksum: 11b538aa4 Time (s): cpu = 00:02:44 ; elapsed = 00:01:40 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 268 ; free virtual = 23856 Phase 4.1.1.2 Post Placement Timing Optimization INFO: [Place 30-746] Post Placement Timing Summary WNS=-0.800. For the most accurate timing information please run report_timing. Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: aafaf2ee Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Phase 4.1 Post Commit Optimization | Checksum: aafaf2ee Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Phase 4.2 Post Placement Cleanup Phase 4.2 Post Placement Cleanup | Checksum: aafaf2ee Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Phase 4.3 Placer Reporting Phase 4.3.1 Print Estimated Congestion INFO: [Place 30-612] Post-Placement Estimated Congestion ____________________________________________________ | | Global Congestion | Short Congestion | | Direction | Region Size | Region Size | |___________|___________________|___________________| | North| 1x1| 2x2| |___________|___________________|___________________| | South| 1x1| 4x4| |___________|___________________|___________________| | East| 2x2| 2x2| |___________|___________________|___________________| | West| 1x1| 2x2| |___________|___________________|___________________| Phase 4.3.1 Print Estimated Congestion | Checksum: aafaf2ee Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Phase 4.3 Placer Reporting | Checksum: aafaf2ee Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Phase 4.4 Final Placement Cleanup Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 266 ; free virtual = 23856 Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Phase 4 Post Placement Optimization and Clean-Up | Checksum: 776ca5e4 Time (s): cpu = 00:04:48 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23856 Ending Placer Task | Checksum: 4b50201c Time (s): cpu = 00:04:49 ; elapsed = 00:03:44 . Memory (MB): peak = 2808.223 ; gain = 7.027 ; free physical = 266 ; free virtual = 23855 37 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully place_design: Time (s): cpu = 00:04:51 ; elapsed = 00:03:46 . Memory (MB): peak = 2808.223 ; gain = 39.043 ; free physical = 266 ; free virtual = 23855 # report_utilization -hierarchical -file digilent_arty_a7_utilization_hierarchical_place.rpt # report_utilization -file digilent_arty_a7_utilization_place.rpt # report_io -file digilent_arty_a7_io.rpt report_io: Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.17 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 255 ; free virtual = 23844 # report_control_sets -verbose -file digilent_arty_a7_control_sets.rpt report_control_sets: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 251 ; free virtual = 23841 # report_clock_utilization -file digilent_arty_a7_clock_utilization.rpt # route_design Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command route_design INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs Phase 1 Build RT Design Checksum: PlaceDB: 5a95ad7 ConstDB: 0 ShapeSum: 45a6c545 RouteDB: 0 Post Restoration Checksum: NetGraph: 1173b3df | NumContArr: 26c213c8 | Constraints: c2a8fa9d | Timing: c2a8fa9d Phase 1 Build RT Design | Checksum: 1bd87bce1 Time (s): cpu = 00:01:24 ; elapsed = 00:01:12 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 746 ; free virtual = 24371 Phase 2 Router Initialization Phase 2.1 Fix Topology Constraints Phase 2.1 Fix Topology Constraints | Checksum: 1bd87bce1 Time (s): cpu = 00:01:25 ; elapsed = 00:01:12 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 746 ; free virtual = 24371 Phase 2.2 Pre Route Cleanup Phase 2.2 Pre Route Cleanup | Checksum: 1bd87bce1 Time (s): cpu = 00:01:25 ; elapsed = 00:01:12 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 746 ; free virtual = 24371 Number of Nodes with overlaps = 0 Phase 2.3 Update Timing Phase 2.3 Update Timing | Checksum: 387c952e7 Time (s): cpu = 00:01:38 ; elapsed = 00:01:19 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 746 ; free virtual = 24371 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.590 | TNS=-15.295| WHS=-0.605 | THS=-276.189| Router Utilization Summary Global Vertical Routing Utilization = 0.00826914 % Global Horizontal Routing Utilization = 0.00532822 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. Number of Failed Nets = 5393 (Failed Nets is the sum of unrouted and partially routed nets) Number of Unrouted Nets = 5357 Number of Partially Routed Nets = 36 Number of Node Overlaps = 26 Phase 2 Router Initialization | Checksum: 314ff1f96 Time (s): cpu = 00:01:44 ; elapsed = 00:01:21 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 746 ; free virtual = 24372 Phase 3 Initial Routing Phase 3.1 Global Routing Phase 3.1 Global Routing | Checksum: 314ff1f96 Time (s): cpu = 00:01:44 ; elapsed = 00:01:21 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 746 ; free virtual = 24372 Phase 3.2 Initial Net Routing Phase 3.2 Initial Net Routing | Checksum: 269e9475b Time (s): cpu = 00:01:50 ; elapsed = 00:01:24 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 730 ; free virtual = 24355 Phase 3 Initial Routing | Checksum: 269e9475b Time (s): cpu = 00:01:50 ; elapsed = 00:01:24 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 729 ; free virtual = 24354 INFO: [Route 35-580] Design has 4 pins with tight setup and hold constraints. The top 5 pins with tight setup and hold constraints: +====================+===================+==============================================+ | Launch Setup Clock | Launch Hold Clock | Pin | +====================+===================+==============================================+ | sys_clk_pin | sys_clk_pin | Core/Control_Unit/FSM_onehot_state_reg[12]/D | | sys_clk_pin | sys_clk_pin | Core/Control_Unit/FSM_onehot_state_reg[36]/D | | sys_clk_pin | sys_clk_pin | Core/Control_Unit/FSM_onehot_state_reg[4]/D | | sys_clk_pin | sys_clk_pin | Core/Control_Unit/FSM_onehot_state_reg[14]/D | +--------------------+-------------------+----------------------------------------------+ File with complete list of pins: tight_setup_hold_pins.txt Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 Number of Nodes with overlaps = 2319 Number of Nodes with overlaps = 1030 Number of Nodes with overlaps = 639 Number of Nodes with overlaps = 356 Number of Nodes with overlaps = 174 Number of Nodes with overlaps = 84 Number of Nodes with overlaps = 53 Number of Nodes with overlaps = 36 Number of Nodes with overlaps = 11 Number of Nodes with overlaps = 4 Number of Nodes with overlaps = 2 Number of Nodes with overlaps = 0 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-2.190 | TNS=-373.259| WHS=N/A | THS=N/A | Phase 4.1 Global Iteration 0 | Checksum: 17174940f Time (s): cpu = 00:03:20 ; elapsed = 00:02:20 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 739 ; free virtual = 24365 Phase 4.2 Global Iteration 1 Number of Nodes with overlaps = 687 Number of Nodes with overlaps = 460 Number of Nodes with overlaps = 275 Number of Nodes with overlaps = 141 Number of Nodes with overlaps = 100 Number of Nodes with overlaps = 53 Number of Nodes with overlaps = 37 Number of Nodes with overlaps = 26 Number of Nodes with overlaps = 28 Number of Nodes with overlaps = 15 Number of Nodes with overlaps = 3 Number of Nodes with overlaps = 6 Number of Nodes with overlaps = 0 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.808 | TNS=-194.969| WHS=N/A | THS=N/A | Phase 4.2 Global Iteration 1 | Checksum: 2f0f077ec Time (s): cpu = 00:04:00 ; elapsed = 00:02:45 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 736 ; free virtual = 24362 Phase 4.3 Global Iteration 2 Number of Nodes with overlaps = 1310 Number of Nodes with overlaps = 629 Number of Nodes with overlaps = 266 Number of Nodes with overlaps = 137 Number of Nodes with overlaps = 89 Number of Nodes with overlaps = 55 Number of Nodes with overlaps = 26 Number of Nodes with overlaps = 10 Number of Nodes with overlaps = 9 Number of Nodes with overlaps = 5 Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 0 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.767 | TNS=-142.841| WHS=N/A | THS=N/A | Phase 4.3 Global Iteration 2 | Checksum: 32f9fec6d Time (s): cpu = 00:04:51 ; elapsed = 00:03:13 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 744 ; free virtual = 24370 Phase 4 Rip-up And Reroute | Checksum: 32f9fec6d Time (s): cpu = 00:04:51 ; elapsed = 00:03:13 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 744 ; free virtual = 24370 Phase 5 Delay and Skew Optimization Phase 5.1 Delay CleanUp Phase 5.1.1 Update Timing Phase 5.1.1 Update Timing | Checksum: 354442571 Time (s): cpu = 00:04:54 ; elapsed = 00:03:14 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 743 ; free virtual = 24370 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.680 | TNS=-135.521| WHS=N/A | THS=N/A | Number of Nodes with overlaps = 0 Phase 5.1 Delay CleanUp | Checksum: 26afe95c0 Time (s): cpu = 00:04:56 ; elapsed = 00:03:15 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 739 ; free virtual = 24365 Phase 5.2 Clock Skew Optimization Phase 5.2 Clock Skew Optimization | Checksum: 26afe95c0 Time (s): cpu = 00:04:56 ; elapsed = 00:03:15 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 739 ; free virtual = 24365 Phase 5 Delay and Skew Optimization | Checksum: 26afe95c0 Time (s): cpu = 00:04:56 ; elapsed = 00:03:15 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 739 ; free virtual = 24365 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter Phase 6.1.1 Update Timing Phase 6.1.1 Update Timing | Checksum: 28360dad1 Time (s): cpu = 00:05:00 ; elapsed = 00:03:17 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 732 ; free virtual = 24359 INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.668 | TNS=-120.708| WHS=0.049 | THS=0.000 | Phase 6.1 Hold Fix Iter | Checksum: 1d6ad91be Time (s): cpu = 00:05:00 ; elapsed = 00:03:17 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 732 ; free virtual = 24359 Phase 6 Post Hold Fix | Checksum: 1d6ad91be Time (s): cpu = 00:05:00 ; elapsed = 00:03:17 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 732 ; free virtual = 24359 Phase 7 Route finalize Router Utilization Summary Global Vertical Routing Utilization = 1.99186 % Global Horizontal Routing Utilization = 2.57687 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. Number of Failed Nets = 0 (Failed Nets is the sum of unrouted and partially routed nets) Number of Unrouted Nets = 0 Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 --GLOBAL Congestion: Utilization threshold used for congestion level computation: 0.85 Congestion Report North Dir 1x1 Area, Max Cong = 72.0721%, No Congested Regions. South Dir 1x1 Area, Max Cong = 64.8649%, No Congested Regions. East Dir 1x1 Area, Max Cong = 82.3529%, No Congested Regions. West Dir 1x1 Area, Max Cong = 86.7647%, Congestion bounded by tiles (Lower Left Tile -> Upper Right Tile): INT_R_X37Y45 -> INT_R_X37Y45 ------------------------------ Reporting congestion hotspots ------------------------------ Direction: North ---------------- Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 Direction: South ---------------- Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 Direction: East ---------------- Congested clusters found at Level 0 Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 Direction: West ---------------- Congested clusters found at Level 0 Effective congestion level: 1 Aspect Ratio: 0.5 Sparse Ratio: 0.5 Phase 7 Route finalize | Checksum: 1d6ad91be Time (s): cpu = 00:05:00 ; elapsed = 00:03:17 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 732 ; free virtual = 24359 Phase 8 Verifying routed nets Verification completed successfully Phase 8 Verifying routed nets | Checksum: 1d6ad91be Time (s): cpu = 00:05:00 ; elapsed = 00:03:17 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 732 ; free virtual = 24359 Phase 9 Depositing Routes Phase 9 Depositing Routes | Checksum: 1dd6d4443 Time (s): cpu = 00:05:03 ; elapsed = 00:03:19 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 721 ; free virtual = 24348 Phase 10 Post Router Timing INFO: [Route 35-57] Estimated Timing Summary | WNS=-1.668 | TNS=-120.708| WHS=0.049 | THS=0.000 | WARNING: [Route 35-328] Router estimated timing not met. Resolution: For a complete and accurate timing signoff, report_timing_summary must be run after route_design. Alternatively, route_design can be run with the -timing_summary option to enable a complete timing signoff at the end of route_design. Phase 10 Post Router Timing | Checksum: 1dd6d4443 Time (s): cpu = 00:05:06 ; elapsed = 00:03:20 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 745 ; free virtual = 24371 INFO: [Route 35-16] Router Completed Successfully Phase 11 Post-Route Event Processing Phase 11 Post-Route Event Processing | Checksum: 16bdd32a3 Time (s): cpu = 00:05:06 ; elapsed = 00:03:20 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 743 ; free virtual = 24370 Ending Routing Task | Checksum: 16bdd32a3 Time (s): cpu = 00:05:06 ; elapsed = 00:03:21 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 742 ; free virtual = 24369 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation 15 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully route_design: Time (s): cpu = 00:05:10 ; elapsed = 00:03:23 . Memory (MB): peak = 2808.223 ; gain = 0.000 ; free physical = 739 ; free virtual = 24365 # report_timing_summary -no_header -no_detailed_paths INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs ------------------------------------------------------------------------------------------------ | Timer Settings | -------------- ------------------------------------------------------------------------------------------------ Enable Multi Corner Analysis : Yes Enable Pessimism Removal : Yes Pessimism Removal Resolution : Nearest Common Node Enable Input Delay Default Clock : No Enable Preset / Clear Arcs : No Disable Flight Delays : No Ignore I/O Paths : No Timing Early Launch at Borrowing Latches : No Borrow Time for Max Delay Exceptions : Yes Merge Timing Exceptions : Yes Inter-SLR Compensation : Conservative Corner Analyze Analyze Name Max Paths Min Paths ------ --------- --------- Slow Yes Yes Fast Yes Yes ------------------------------------------------------------------------------------------------ | Report Methodology | ------------------ ------------------------------------------------------------------------------------------------ No report available as report_methodology has not been run prior. Run report_methodology on the current design for the summary of methodology violations. check_timing report Table of Contents ----------------- 1. checking no_clock (0) 2. checking constant_clock (0) 3. checking pulse_width_clock (0) 4. checking unconstrained_internal_endpoints (0) 5. checking no_input_delay (1) 6. checking no_output_delay (1) 7. checking multiple_clock (0) 8. checking generated_clocks (0) 9. checking loops (0) 10. checking partial_input_delay (0) 11. checking partial_output_delay (0) 12. checking latch_loops (0) 1. checking no_clock (0) ------------------------ There are 0 register/latch pins with no clock. 2. checking constant_clock (0) ------------------------------ There are 0 register/latch pins with constant_clock. 3. checking pulse_width_clock (0) --------------------------------- There are 0 register/latch pins which need pulse_width check 4. checking unconstrained_internal_endpoints (0) ------------------------------------------------ There are 0 pins that are not constrained for maximum delay. There are 0 pins that are not constrained for maximum delay due to constant clock. 5. checking no_input_delay (1) ------------------------------ There is 1 input port with no input delay specified. (HIGH) There are 0 input ports with no input delay but user has a false path constraint. 6. checking no_output_delay (1) ------------------------------- There is 1 port with no output delay specified. (HIGH) There are 0 ports with no output delay but user has a false path constraint There are 0 ports with no output delay but with a timing clock defined on it or propagating through it 7. checking multiple_clock (0) ------------------------------ There are 0 register/latch pins with multiple clocks. 8. checking generated_clocks (0) -------------------------------- There are 0 generated clocks that are not connected to a clock source. 9. checking loops (0) --------------------- There are 0 combinational loops in the design. 10. checking partial_input_delay (0) ------------------------------------ There are 0 input ports with partial input delay specified. 11. checking partial_output_delay (0) ------------------------------------- There are 0 ports with partial output delay specified. 12. checking latch_loops (0) ---------------------------- There are 0 combinational latch loops in the design through latch input ------------------------------------------------------------------------------------------------ | Design Timing Summary | --------------------- ------------------------------------------------------------------------------------------------ WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -1.668 -120.413 350 16591 0.050 0.000 0 16591 3.750 0.000 0 3607 Timing constraints are not met. ------------------------------------------------------------------------------------------------ | Clock Summary | ------------- ------------------------------------------------------------------------------------------------ Clock Waveform(ns) Period(ns) Frequency(MHz) ----- ------------ ---------- -------------- sck {0.000 50.000} 100.000 10.000 sys_clk_pin {0.000 5.000} 10.000 100.000 ------------------------------------------------------------------------------------------------ | Intra Clock Table | ----------------- ------------------------------------------------------------------------------------------------ Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- sys_clk_pin -1.668 -120.413 350 16591 0.050 0.000 0 16591 3.750 0.000 0 3607 ------------------------------------------------------------------------------------------------ | Inter Clock Table | ----------------- ------------------------------------------------------------------------------------------------ From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- ------------------------------------------------------------------------------------------------ | Other Path Groups Table | ----------------------- ------------------------------------------------------------------------------------------------ Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- # report_route_status -file digilent_arty_a7_route_status.rpt # report_drc -file digilent_arty_a7_drc.rpt Command: report_drc -file digilent_arty_a7_drc.rpt INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/eda/vivado/Vivado/2023.2/data/ip'. INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 2-168] The results of DRC are in file /var/jenkins_home/workspace/Risco-5/Risco-5/digilent_arty_a7_drc.rpt. report_drc completed successfully # report_timing_summary -datasheet -max_paths 10 -file digilent_arty_a7_timing.rpt INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs # report_power -file digilent_arty_a7_power.rpt Command: report_power -file digilent_arty_a7_power.rpt Running Vector-less Activity Propagation... Finished Running Vector-less Activity Propagation WARNING: [Power 33-332] Found switching activity that implies high-fanout reset nets being asserted for excessive periods of time which may result in inaccurate power analysis. Resolution: To review and fix problems, please run Power Constraints Advisor in the GUI from Tools > Power Constraints Advisor or run report_power with the -advisory option to generate a text report. 0 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. report_power completed successfully # write_bitstream -force "digilent_arty_a7_100t.bit" Command: write_bitstream -force digilent_arty_a7_100t.bit Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command write_bitstream INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 8 threads WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: set_property CFGBVS value1 [current_design] #where value1 is either VCCO or GND set_property CONFIG_VOLTAGE value2 [current_design] #where value2 is the voltage provided to configuration bank 0 Refer to the device configuration user guide for more information. WARNING: [DRC DPOP-1] PREG Output pipelining: DSP Core/Mdu/acumulador0 output Core/Mdu/acumulador0/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. WARNING: [DRC DPOP-1] PREG Output pipelining: DSP Core/Mdu/acumulador0__0 output Core/Mdu/acumulador0__0/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Core/Mdu/acumulador0 multiplier stage Core/Mdu/acumulador0/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Core/Mdu/acumulador0__0 multiplier stage Core/Mdu/acumulador0__0/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Core/Mdu/acumulador_reg multiplier stage Core/Mdu/acumulador_reg/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Core/Mdu/acumulador_reg__0 multiplier stage Core/Mdu/acumulador_reg__0/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. INFO: [Vivado 12-3199] DRC finished with 0 Errors, 7 Warnings INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. INFO: [Designutils 20-2272] Running write_bitstream with 8 threads. Loading data files... Loading site data... Loading route data... Processing options... Creating bitmap... Creating bitstream... Writing bitstream ./digilent_arty_a7_100t.bit... INFO: [Vivado 12-1842] Bitgen Completed Successfully. INFO: [Project 1-1876] WebTalk data collection is mandatory when using a ULT device. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. INFO: [Common 17-83] Releasing license: Implementation 9 Infos, 7 Warnings, 0 Critical Warnings and 0 Errors encountered. write_bitstream completed successfully write_bitstream: Time (s): cpu = 00:00:33 ; elapsed = 00:00:33 . Memory (MB): peak = 3141.051 ; gain = 247.008 ; free physical = 398 ; free virtual = 24029 # exit INFO: [Common 17-206] Exiting Vivado at Fri Mar 7 20:22:30 2025... [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Flash digilent_arty_a7_100t) [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] echo Flashing FPGA digilent_arty_a7_100t. [Pipeline] sh + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config.json -p Risco-5 -b digilent_arty_a7_100t -l Final configuration file generated at /var/jenkins_home/workspace/Risco-5/Risco-5/build_digilent_arty_a7_100t.tcl Makefile executed successfully. Makefile output: Flashing the FPGA... /eda/oss-cad-suite/bin/openFPGALoader -b arty_a7_100t digilent_arty_a7_100t.bit empty Jtag frequency : requested 10.00MHz -> real 10.00MHz Open file DONE Parse file DONE load program Load SRAM: [=============== ] 30.00% Load SRAM: [=============================== ] 62.00% Load SRAM: [=============================================== ] 94.00% Load SRAM: [===================================================] 100.00% Done Shift IR 35 ir: 1 isc_done 1 isc_ena 0 init 1 done 1 [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Test digilent_arty_a7_100t) [Pipeline] echo Testing FPGA digilent_arty_a7_100t. [Pipeline] dir Running in /var/jenkins_home/workspace/Risco-5/Risco-5 [Pipeline] { [Pipeline] sh + echo Test for FPGA in /dev/ttyUSB1 Test for FPGA in /dev/ttyUSB1 [Pipeline] echo python3 /eda/processor_ci_tests/test_runner/run.py --config "/eda/processor_ci_tests/test_runner/config.json" --port /dev/ttyUSB1 [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] } Lock released on resource [Resource: digilent_arty_a7_100t] [Pipeline] // lock [Pipeline] } [Pipeline] // stage [Pipeline] } [Pipeline] // parallel [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Declarative: Post Actions) [Pipeline] junit Recording test results [Checks API] No suitable checks publisher found. [Pipeline] } [Pipeline] // stage [Pipeline] } [Pipeline] // node [Pipeline] End of Pipeline Finished: UNSTABLE