Started by user Julio Nunes Avelar [Pipeline] Start of Pipeline [Pipeline] node Still waiting to schedule task Waiting for next available executor Running on Jenkins in /var/jenkins_home/workspace/Grande-Risco-5 [Pipeline] { [Pipeline] stage [Pipeline] { (Git Clone) [Pipeline] sh + rm -rf Grande-Risco-5 [Pipeline] sh + git clone --recursive --depth=1 https://github.com/JN513/Grande-Risco-5 Grande-Risco-5 Cloning into 'Grande-Risco-5'... Submodule 'benchmarks/coremark' (https://github.com/eembc/coremark.git) registered for path 'benchmarks/coremark' Cloning into '/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/benchmarks/coremark'... Submodule path 'benchmarks/coremark': checked out 'd5fad6bd094899101a4e5fd53af7298160ced6ab' [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Simulation) [Pipeline] dir Running in /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5 [Pipeline] { [Pipeline] echo simulation not supported for System Verilog files [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Utilities) [Pipeline] dir Running in /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5 [Pipeline] { [Pipeline] sh + pwd + python3 /eda/processor_ci/core/labeler_prototype.py -d /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5 -c /eda/processor_ci/config -o /jenkins/processor_ci_utils/labels Trying to read file: /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv Cache-related signals in fifo_tb.sv Possible cache file: i_cache_test.sv Possible cache file: d_cache_test.sv Cache-related signals in fifo.sv Cache-related signals in uart.sv Possible cache file: cache_request_multiplexer.sv Possible cache file: d_cache.sv Cache-related signals in d_cache.sv Possible cache file: i_cache.sv Results saved to /jenkins/processor_ci_utils/labels/Grande-Risco-5.json [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (FPGA Build Pipeline) [Pipeline] parallel [Pipeline] { (Branch: colorlight_i9) [Pipeline] { (Branch: digilent_arty_a7_100t) [Pipeline] stage [Pipeline] { (colorlight_i9) [Pipeline] stage [Pipeline] { (digilent_arty_a7_100t) [Pipeline] lock Trying to acquire lock on [Resource: colorlight_i9] Resource [colorlight_i9] did not exist. Created. Lock acquired on [Resource: colorlight_i9] [Pipeline] { [Pipeline] lock Trying to acquire lock on [Resource: digilent_arty_a7_100t] Resource [digilent_arty_a7_100t] did not exist. Created. Lock acquired on [Resource: digilent_arty_a7_100t] [Pipeline] { [Pipeline] stage [Pipeline] { (Synthesis and PnR) [Pipeline] stage [Pipeline] { (Synthesis and PnR) [Pipeline] dir Running in /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5 [Pipeline] { [Pipeline] dir Running in /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5 [Pipeline] { [Pipeline] echo Starting synthesis for FPGA colorlight_i9. [Pipeline] sh [Pipeline] echo Starting synthesis for FPGA digilent_arty_a7_100t. + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config -p Grande-Risco-5 -b colorlight_i9 [Pipeline] sh + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config -p Grande-Risco-5 -b digilent_arty_a7_100t [LOCK] Criado: run.lock File 'processor_ci_defines.vh' generated for board: 'digilent_arty_a7_100t'. Final configuration file generated at /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/build_digilent_arty_a7_100t.tcl [LOCK] Removido: run.lock Makefile executed successfully. Makefile output: Building the Design... /eda/vivado/Vivado/2023.2/bin/vivado -mode batch -nolog -nojournal -source /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/build_digilent_arty_a7_100t.tcl ****** Vivado v2023.2 (64-bit) **** SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 **** IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 **** SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. source /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/build_digilent_arty_a7_100t.tcl # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/grande_risco5_types.sv read_verilog: Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 1305.117 ; gain = 0.023 ; free physical = 2399 ; free virtual = 24275 # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv WARNING: [filemgmt 56-12] File '/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv' cannot be added to the project because it already exists in the project, skipping this file # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv # read_verilog -sv /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv # read_verilog -sv /eda/processor_ci/rtl/Grande-Risco-5.sv # read_verilog -sv /eda/processor-ci-controller/modules/uart.sv # read_verilog /eda/processor-ci-controller/modules/UART/rtl/uart_rx.v # read_verilog /eda/processor-ci-controller/modules/UART/rtl/uart_tx.v # read_verilog -sv /eda/processor-ci-controller/rtl/fifo.sv # read_verilog -sv /eda/processor-ci-controller/rtl/reset.sv # read_verilog -sv /eda/processor-ci-controller/rtl/clk_divider.sv # read_verilog -sv /eda/processor-ci-controller/rtl/memory.sv # read_verilog -sv /eda/processor-ci-controller/rtl/interpreter.sv # read_verilog -sv /eda/processor-ci-controller/rtl/controller.sv # set_param general.maxThreads 16 # read_xdc "/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc" # set_property PROCESSING_ORDER EARLY [get_files /eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] # synth_design -top "processorci_top" -part "xc7a100tcsg324-1" Command: synth_design -top processorci_top -part xc7a100tcsg324-1 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' INFO: [Device 21-403] Loading part xc7a100tcsg324-1 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes INFO: [Synth 8-7075] Helper process launched with PID 12181 --------------------------------------------------------------------------------- Starting RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2032.902 ; gain = 403.746 ; free physical = 1280 ; free virtual = 23155 --------------------------------------------------------------------------------- WARNING: [Synth 8-6901] identifier 'PAYLOAD_BITS' is used before its declaration [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:16] WARNING: [Synth 8-6901] identifier 'PAYLOAD_BITS' is used before its declaration [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:16] INFO: [Synth 8-6157] synthesizing module 'processorci_top' [/eda/processor_ci/rtl/Grande-Risco-5.sv:4] INFO: [Synth 8-6157] synthesizing module 'Controller' [/eda/processor-ci-controller/rtl/controller.sv:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter BIT_RATE bound to: 115200 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer Parameter BUFFER_SIZE bound to: 8 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer Parameter BUS_WIDTH bound to: 32 - type: integer Parameter WORD_SIZE_BY bound to: 4 - type: integer Parameter ID bound to: 1095914585 - type: integer Parameter RESET_CLK_CYCLES bound to: 20 - type: integer Parameter MEMORY_FILE bound to: (null) - type: string Parameter MEMORY_SIZE bound to: 8192 - type: integer INFO: [Synth 8-6157] synthesizing module 'ClkDivider' [/eda/processor-ci-controller/rtl/clk_divider.sv:1] Parameter COUNTER_BITS bound to: 32 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer INFO: [Synth 8-6155] done synthesizing module 'ClkDivider' (0#1) [/eda/processor-ci-controller/rtl/clk_divider.sv:1] INFO: [Synth 8-6157] synthesizing module 'Interpreter' [/eda/processor-ci-controller/rtl/interpreter.sv:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter PULSE_CONTROL_BITS bound to: 32 - type: integer Parameter BUS_WIDTH bound to: 32 - type: integer Parameter ID bound to: 1095914585 - type: integer Parameter RESET_CLK_CYCLES bound to: 20 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Interpreter' (0#1) [/eda/processor-ci-controller/rtl/interpreter.sv:1] INFO: [Synth 8-6157] synthesizing module 'UART' [/eda/processor-ci-controller/modules/uart.sv:1] Parameter CLK_FREQ bound to: 50000000 - type: integer Parameter BIT_RATE bound to: 115200 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer Parameter BUFFER_SIZE bound to: 8 - type: integer Parameter WORD_SIZE_BY bound to: 4 - type: integer INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/eda/processor-ci-controller/modules/uart.sv:66] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/eda/processor-ci-controller/modules/uart.sv:125] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/eda/processor-ci-controller/modules/uart.sv:199] INFO: [Synth 8-226] default block is never used [/eda/processor-ci-controller/modules/uart.sv:199] INFO: [Synth 8-6157] synthesizing module 'FIFO' [/eda/processor-ci-controller/rtl/fifo.sv:1] Parameter DEPTH bound to: 8 - type: integer Parameter WIDTH bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'FIFO' (0#1) [/eda/processor-ci-controller/rtl/fifo.sv:1] INFO: [Synth 8-6157] synthesizing module 'uart_rx' [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:9] Parameter BIT_RATE bound to: 115200 - type: integer Parameter CLK_HZ bound to: 50000000 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'uart_rx' (0#1) [/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:9] INFO: [Synth 8-6157] synthesizing module 'uart_tx' [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:10] Parameter BIT_RATE bound to: 115200 - type: integer Parameter CLK_HZ bound to: 50000000 - type: integer Parameter PAYLOAD_BITS bound to: 8 - type: integer INFO: [Synth 8-6155] done synthesizing module 'uart_tx' (0#1) [/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:10] INFO: [Synth 8-6155] done synthesizing module 'UART' (0#1) [/eda/processor-ci-controller/modules/uart.sv:1] INFO: [Synth 8-6157] synthesizing module 'Memory' [/eda/processor-ci-controller/rtl/memory.sv:1] Parameter MEMORY_FILE bound to: (null) - type: string Parameter MEMORY_SIZE bound to: 8192 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Memory' (0#1) [/eda/processor-ci-controller/rtl/memory.sv:1] INFO: [Synth 8-6155] done synthesizing module 'Controller' (0#1) [/eda/processor-ci-controller/rtl/controller.sv:1] INFO: [Synth 8-6157] synthesizing module 'Grande_Risco5' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:1] Parameter BOOT_ADDRESS bound to: 0 - type: integer Parameter I_CACHE_SIZE bound to: 256 - type: integer Parameter D_CACHE_SIZE bound to: 256 - type: integer Parameter DATA_WIDTH bound to: 32 - type: integer Parameter ADDR_WIDTH bound to: 32 - type: integer Parameter BRANCH_PREDICTION_SIZE bound to: 128 - type: integer INFO: [Synth 8-6157] synthesizing module 'Core' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv:3] Parameter BOOT_ADDRESS bound to: 0 - type: integer Parameter BRANCH_PREDICTION_SIZE bound to: 128 - type: integer INFO: [Synth 8-6157] synthesizing module 'IFID' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:3] Parameter BOOT_ADDRESS bound to: 0 - type: integer Parameter BRANCH_PREDICTION_SIZE bound to: 128 - type: integer INFO: [Synth 8-6157] synthesizing module 'IR_Decompression' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:3] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:31] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:34] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:76] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:114] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:132] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:198] INFO: [Synth 8-6155] done synthesizing module 'IR_Decompression' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:3] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:216] INFO: [Synth 8-6157] synthesizing module 'Branch_Prediction' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:1] Parameter BRANCH_PREDICTION_SIZE bound to: 128 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Branch_Prediction' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:1] INFO: [Synth 8-6157] synthesizing module 'Invalid_IR_Check' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:1] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:16] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:18] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:24] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:33] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:45] INFO: [Synth 8-6155] done synthesizing module 'Invalid_IR_Check' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:1] INFO: [Synth 8-6155] done synthesizing module 'IFID' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:3] INFO: [Synth 8-6157] synthesizing module 'IDEX' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:3] INFO: [Synth 8-6157] synthesizing module 'ALU_Control' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:1] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:26] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:30] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:41] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:41] INFO: [Synth 8-6155] done synthesizing module 'ALU_Control' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:1] INFO: [Synth 8-6157] synthesizing module 'Alu' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:1] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:29] INFO: [Synth 8-6155] done synthesizing module 'Alu' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:1] INFO: [Synth 8-6157] synthesizing module 'Immediate_Generator' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:1] INFO: [Synth 8-6155] done synthesizing module 'Immediate_Generator' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:1] INFO: [Synth 8-6157] synthesizing module 'Forwarding_Unit' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:1] INFO: [Synth 8-6155] done synthesizing module 'Forwarding_Unit' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:1] INFO: [Synth 8-6157] synthesizing module 'MUX' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:1] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:11] INFO: [Synth 8-6155] done synthesizing module 'MUX' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:1] INFO: [Synth 8-6157] synthesizing module 'MDU' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:4] INFO: [Synth 8-6155] done synthesizing module 'MDU' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:4] INFO: [Synth 8-6155] done synthesizing module 'IDEX' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:3] INFO: [Synth 8-6157] synthesizing module 'EXMEM' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:3] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:99] INFO: [Synth 8-226] default block is never used [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:237] INFO: [Synth 8-6155] done synthesizing module 'EXMEM' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:3] INFO: [Synth 8-6157] synthesizing module 'MEMWB' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:3] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:74] INFO: [Synth 8-6155] done synthesizing module 'MEMWB' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:3] INFO: [Synth 8-6157] synthesizing module 'Registers' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:1] INFO: [Synth 8-6155] done synthesizing module 'Registers' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:1] INFO: [Synth 8-6157] synthesizing module 'CSR_Unit' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:1] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:88] INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:100] INFO: [Synth 8-6155] done synthesizing module 'CSR_Unit' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:1] INFO: [Synth 8-6155] done synthesizing module 'Core' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv:3] INFO: [Synth 8-6157] synthesizing module 'ICache' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:1] Parameter CACHE_SIZE bound to: 256 - type: integer INFO: [Synth 8-6155] done synthesizing module 'ICache' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:1] INFO: [Synth 8-6157] synthesizing module 'DCache' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:1] Parameter CACHE_SIZE bound to: 256 - type: integer INFO: [Synth 8-6155] done synthesizing module 'DCache' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:1] INFO: [Synth 8-6157] synthesizing module 'Cache_request_Multiplexer' [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:1] Parameter DATA_WIDTH bound to: 32 - type: integer Parameter ADDR_WIDTH bound to: 32 - type: integer INFO: [Synth 8-6155] done synthesizing module 'Cache_request_Multiplexer' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:1] INFO: [Synth 8-6155] done synthesizing module 'Grande_Risco5' (0#1) [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:1] INFO: [Synth 8-6157] synthesizing module 'ResetBootSystem' [/eda/processor-ci-controller/rtl/reset.sv:1] Parameter CYCLES bound to: 32'sb00000000000000000000000000010100 INFO: [Synth 8-294] found qualifier unique on case statement: implementing as parallel_case [/eda/processor-ci-controller/rtl/reset.sv:32] INFO: [Synth 8-6155] done synthesizing module 'ResetBootSystem' (0#1) [/eda/processor-ci-controller/rtl/reset.sv:1] WARNING: [Synth 8-7071] port 'start' of module 'ResetBootSystem' is unconnected for instance 'ResetBootSystem' [/eda/processor_ci/rtl/Grande-Risco-5.sv:179] WARNING: [Synth 8-7071] port 'rst_o' of module 'ResetBootSystem' is unconnected for instance 'ResetBootSystem' [/eda/processor_ci/rtl/Grande-Risco-5.sv:179] WARNING: [Synth 8-7023] instance 'ResetBootSystem' of module 'ResetBootSystem' has 4 connections declared, but only 2 given [/eda/processor_ci/rtl/Grande-Risco-5.sv:179] INFO: [Synth 8-6155] done synthesizing module 'processorci_top' (0#1) [/eda/processor_ci/rtl/Grande-Risco-5.sv:4] WARNING: [Synth 8-3848] Net intr_o in module/entity Controller does not have driver. [/eda/processor-ci-controller/rtl/controller.sv:25] WARNING: [Synth 8-3848] Net data_memory_ack in module/entity Controller does not have driver. [/eda/processor-ci-controller/rtl/controller.sv:119] WARNING: [Synth 8-3848] Net data_memory_read_data in module/entity Controller does not have driver. [/eda/processor-ci-controller/rtl/controller.sv:120] WARNING: [Synth 8-6014] Unused sequential element jump_is_predicted_reg was removed. [/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:87] WARNING: [Synth 8-3848] Net miso in module/entity processorci_top does not have driver. [/eda/processor_ci/rtl/Grande-Risco-5.sv:24] WARNING: [Synth 8-7129] Port invalid_fetch_instruction in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port invalid_decode_instruction in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[31] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[30] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[29] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[28] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[27] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[26] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[25] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[24] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[23] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[22] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[21] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[20] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[19] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[18] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[17] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[16] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[15] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[14] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[13] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[12] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[11] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[10] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[9] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[8] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[7] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[6] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[5] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[4] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[3] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[1] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port fetch_pc[0] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[31] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[30] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[29] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[28] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[27] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[26] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[25] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[24] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[23] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[22] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[21] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[20] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[19] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[18] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[17] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[16] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[15] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[14] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[13] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[12] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[11] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[10] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[9] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[8] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[7] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[6] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[5] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[4] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[3] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[1] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port decode_pc[0] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[31] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[30] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[29] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[28] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[27] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[26] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[25] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[24] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[23] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[22] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[21] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[20] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[19] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[18] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[17] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[16] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[15] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[14] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[13] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[12] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[11] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[10] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[9] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[8] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[7] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[6] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[5] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[4] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[3] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[2] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[1] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port execute_pc[0] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port memory_pc[31] in module CSR_Unit is either unconnected or has no load WARNING: [Synth 8-7129] Port memory_pc[30] in module CSR_Unit is either unconnected or has no load INFO: [Common 17-14] Message 'Synth 8-7129' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. --------------------------------------------------------------------------------- Finished RTL Elaboration : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 2203.871 ; gain = 574.715 ; free physical = 1068 ; free virtual = 22948 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 2221.684 ; gain = 592.527 ; free physical = 1066 ; free virtual = 22945 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 2221.684 ; gain = 592.527 ; free physical = 1066 ; free virtual = 22945 --------------------------------------------------------------------------------- Netlist sorting complete. Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.18 . Memory (MB): peak = 2221.684 ; gain = 0.000 ; free physical = 1062 ; free virtual = 22941 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] WARNING: [Vivado 12-507] No nets matched 'sck_IBUF'. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. Finished Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/processorci_top_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/processorci_top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2359.434 ; gain = 0.000 ; free physical = 1045 ; free virtual = 22924 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. Constraint Validation Runtime : Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2359.469 ; gain = 0.000 ; free physical = 1045 ; free virtual = 22923 --------------------------------------------------------------------------------- Finished Constraint Validation : Time (s): cpu = 00:00:45 ; elapsed = 00:00:46 . Memory (MB): peak = 2359.469 ; gain = 730.312 ; free physical = 1043 ; free virtual = 22923 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a100tcsg324-1 --------------------------------------------------------------------------------- Finished Loading Part and Timing Information : Time (s): cpu = 00:00:45 ; elapsed = 00:00:46 . Memory (MB): peak = 2359.469 ; gain = 730.312 ; free physical = 1043 ; free virtual = 22923 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:45 ; elapsed = 00:00:46 . Memory (MB): peak = 2359.469 ; gain = 730.312 ; free physical = 1043 ; free virtual = 22923 --------------------------------------------------------------------------------- INFO: [Synth 8-802] inferred FSM for state register 'fsm_state_reg' in module 'uart_rx' INFO: [Synth 8-802] inferred FSM for state register 'fsm_state_reg' in module 'uart_tx' INFO: [Synth 8-802] inferred FSM for state register 'state_read_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'state_write_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'tx_read_fifo_state_reg' in module 'UART' INFO: [Synth 8-802] inferred FSM for state register 'state_mul_reg' in module 'MDU' INFO: [Synth 8-802] inferred FSM for state register 'state_div_reg' in module 'MDU' INFO: [Synth 8-802] inferred FSM for state register 'unaligned_access_state_reg' in module 'EXMEM' INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'ResetBootSystem' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- FSM_IDLE | 00 | 000 FSM_START | 11 | 001 FSM_RECV | 10 | 010 FSM_STOP | 01 | 011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'fsm_state_reg' using encoding 'sequential' in module 'uart_rx' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- FSM_IDLE | 00 | 000 FSM_START | 11 | 001 FSM_SEND | 10 | 010 FSM_STOP | 01 | 011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'fsm_state_reg' using encoding 'sequential' in module 'uart_tx' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 000 | 0000 READ | 001 | 0001 COPY_READ_BUFFER | 010 | 0100 WB | 011 | 0010 FINISH | 100 | 0011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_read_reg' using encoding 'sequential' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 000 | 0000 COPY_WRITE_BUFFER | 001 | 0100 WRITE | 010 | 0101 WB | 011 | 0010 FINISH | 100 | 0011 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_write_reg' using encoding 'sequential' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- TX_FIFO_IDLE | 0001 | 00 TX_FIFO_READ_FIFO | 0010 | 01 TX_FIFO_WRITE_TX | 0100 | 10 TX_FIFO_WAIT | 1000 | 11 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'tx_read_fifo_state_reg' using encoding 'one-hot' in module 'UART' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- MUL_IDLE | 001 | 00 MUL_OPERATE | 010 | 01 MUL_FINISH | 100 | 10 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_mul_reg' using encoding 'one-hot' in module 'MDU' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- IDLE | 0000 | 0000 READ_WORD_TO_SUBSW | 0001 | 1011 MODIFY_WORD_TO_SUBSW | 0010 | 1100 READ_FIRST_WORD | 0011 | 0001 READ_SECOND_WORD | 0100 | 0010 MERGE_WORDS | 0101 | 0011 CUT_WORDS | 0110 | 1010 READ_FIRST_WORD_TO_WRITE | 0111 | 0100 MODIFY_FIRST_WORD_SUB | 1000 | 1110 WRITE_SUBSW | 1001 | 1101 MODIFY_FIRST_WORD | 1010 | 0101 WRITE_FIRST_WORD | 1011 | 0110 READ_SECOND_WORD_TO_WRITE | 1100 | 0111 MODIFY_SECOND_WORD_SUB | 1101 | 1111 MODIFY_SECOND_WORD | 1110 | 1000 WRITE_SECOND_WORD | 1111 | 1001 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'unaligned_access_state_reg' using encoding 'sequential' in module 'EXMEM' --------------------------------------------------------------------------------------------------- State | New Encoding | Previous Encoding --------------------------------------------------------------------------------------------------- INIT | 001 | 00 RESET_COUNTER | 010 | 01 IDLE | 100 | 10 --------------------------------------------------------------------------------------------------- INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'one-hot' in module 'ResetBootSystem' --------------------------------------------------------------------------------- Finished RTL Optimization Phase 2 : Time (s): cpu = 00:01:00 ; elapsed = 00:01:01 . Memory (MB): peak = 2359.469 ; gain = 730.312 ; free physical = 965 ; free virtual = 22846 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- Detailed RTL Component Info : +---Adders : 2 Input 64 Bit Adders := 2 2 Input 32 Bit Adders := 16 3 Input 32 Bit Adders := 2 2 Input 24 Bit Adders := 2 2 Input 10 Bit Adders := 2 2 Input 8 Bit Adders := 1 2 Input 5 Bit Adders := 1 2 Input 4 Bit Adders := 6 2 Input 3 Bit Adders := 2 2 Input 2 Bit Adders := 3 +---XORs : 2 Input 32 Bit XORs := 1 +---Registers : 64 Bit Registers := 3 63 Bit Registers := 1 32 Bit Registers := 86 24 Bit Registers := 4 16 Bit Registers := 1 10 Bit Registers := 2 8 Bit Registers := 11 6 Bit Registers := 1 4 Bit Registers := 7 3 Bit Registers := 2 2 Bit Registers := 128 1 Bit Registers := 197 +---Multipliers : 32x32 Multipliers := 1 +---RAMs : 64K Bit (2048 X 32 bit) RAMs := 1 2K Bit (64 X 32 bit) RAMs := 2 1K Bit (64 X 24 bit) RAMs := 2 64 Bit (8 X 8 bit) RAMs := 2 +---Muxes : 4 Input 64 Bit Muxes := 1 2 Input 64 Bit Muxes := 1 48 Input 64 Bit Muxes := 2 4 Input 63 Bit Muxes := 1 5 Input 32 Bit Muxes := 5 2 Input 32 Bit Muxes := 52 3 Input 32 Bit Muxes := 7 4 Input 32 Bit Muxes := 13 8 Input 32 Bit Muxes := 4 16 Input 32 Bit Muxes := 5 14 Input 32 Bit Muxes := 1 48 Input 24 Bit Muxes := 1 48 Input 8 Bit Muxes := 2 2 Input 8 Bit Muxes := 4 24 Input 7 Bit Muxes := 1 2 Input 7 Bit Muxes := 4 3 Input 6 Bit Muxes := 1 2 Input 5 Bit Muxes := 2 2 Input 4 Bit Muxes := 9 9 Input 4 Bit Muxes := 1 10 Input 4 Bit Muxes := 1 16 Input 4 Bit Muxes := 1 25 Input 4 Bit Muxes := 1 5 Input 3 Bit Muxes := 4 2 Input 3 Bit Muxes := 7 10 Input 3 Bit Muxes := 1 3 Input 3 Bit Muxes := 2 2 Input 2 Bit Muxes := 19 48 Input 2 Bit Muxes := 1 4 Input 2 Bit Muxes := 5 3 Input 2 Bit Muxes := 3 2 Input 1 Bit Muxes := 1034 48 Input 1 Bit Muxes := 22 3 Input 1 Bit Muxes := 9 4 Input 1 Bit Muxes := 10 5 Input 1 Bit Muxes := 14 7 Input 1 Bit Muxes := 2 8 Input 1 Bit Muxes := 1 13 Input 1 Bit Muxes := 1 6 Input 1 Bit Muxes := 3 16 Input 1 Bit Muxes := 9 9 Input 1 Bit Muxes := 7 --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: DSPs: 240 (col length:80) BRAMs: 270 (col length: RAMB18 80 RAMB36 40) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met DSP Report: Generating DSP acumulador0, operation Mode is: A2*B. DSP Report: register acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: Generating DSP acumulador_reg, operation Mode is: (PCIN>>17)+A*B. DSP Report: register acumulador_reg is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. DSP Report: Generating DSP acumulador0, operation Mode is: A2*B2. DSP Report: register acumulador0 is absorbed into DSP acumulador0. DSP Report: register acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: operator acumulador0 is absorbed into DSP acumulador0. DSP Report: Generating DSP acumulador_reg, operation Mode is: (PCIN>>17)+A2*B. DSP Report: register acumulador_reg is absorbed into DSP acumulador_reg. DSP Report: register acumulador_reg is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. DSP Report: operator acumulador0 is absorbed into DSP acumulador_reg. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[47]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[46]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[45]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[44]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[43]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[42]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[41]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[40]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[39]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[38]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[37]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[36]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[35]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[34]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[33]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[32]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[31]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[30]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[29]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[28]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[27]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[26]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[25]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[24]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[23]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[22]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[21]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[20]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[19]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[18]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[17]) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[47]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[46]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[45]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[44]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[43]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[42]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[41]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[40]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[39]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[38]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[37]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[36]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[35]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[34]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[33]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[32]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[31]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[30]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[29]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[28]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[27]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[26]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[25]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[24]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[23]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[22]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[21]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[20]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[19]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[18]__0) is unused and will be removed from module IDEX. WARNING: [Synth 8-3332] Sequential element (Mdu/acumulador_reg[17]__0) is unused and will be removed from module IDEX. --------------------------------------------------------------------------------- Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:02:53 ; elapsed = 00:02:56 . Memory (MB): peak = 2359.469 ; gain = 730.312 ; free physical = 723 ; free virtual = 22642 --------------------------------------------------------------------------------- Sort Area is acumulador0_3 : 0 0 : 2737 4966 : Used 1 time 0 Sort Area is acumulador0_3 : 0 1 : 2229 4966 : Used 1 time 0 Sort Area is acumulador0_0 : 0 0 : 2176 4080 : Used 1 time 0 Sort Area is acumulador0_0 : 0 1 : 1904 4080 : Used 1 time 0 --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- ROM: Preliminary Mapping Report +------------+---------------------+---------------+----------------+ |Module Name | RTL Object | Depth x Width | Implemented As | +------------+---------------------+---------------+----------------+ |Interpreter | memory_mux_selector | 256x1 | LUT | |Interpreter | memory_mux_selector | 256x1 | LUT | +------------+---------------------+---------------+----------------+ Distributed RAM: Preliminary Mapping Report (see note below) +---------------------------+---------------------------------------+-----------+----------------------+------------------+ |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | +---------------------------+---------------------------------------+-----------+----------------------+------------------+ |processorci_top | u_Controller/Uart/tx_fifo/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | u_Controller/Uart/rx_fifo/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | u_Controller/Core_Memory/memory_reg | Implied | 2 K x 32 | RAM256X1S x 256 | |\Processor/N1 /First_Stage | Branch_Prediction/address_to_jump_reg | Implied | 128 x 32 | RAM64M x 66 | |processorci_top | Processor/ICache/cache_tag_reg | Implied | 64 x 24 | RAM64X1S x 24 | |processorci_top | Processor/ICache/cache_data_reg | Implied | 64 x 32 | RAM64X1S x 32 | |processorci_top | Processor/DCache/cache_tag_reg | Implied | 64 x 24 | RAM64X1S x 24 | |processorci_top | Processor/DCache/cache_data_reg | Implied | 64 x 32 | RAM64X1S x 32 | +---------------------------+---------------------------------------+-----------+----------------------+------------------+ Note: The table above is a preliminary report that shows the Distributed RAMs at the current stage of the synthesis flow. Some Distributed RAMs may be reimplemented as non Distributed RAM primitives later in the synthesis flow. Multiple instantiated RAMs are reported only once. DSP: Preliminary Mapping Report (see note below. The ' indicates corresponding REG is set) +------------+-----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | +------------+-----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |MDU | A2*B | 18 | 15 | - | - | 48 | 1 | 0 | - | - | - | 0 | 0 | |MDU | (PCIN>>17)+A*B | 15 | 15 | - | - | 48 | 0 | 0 | - | - | - | 0 | 1 | |MDU | A2*B2 | 18 | 18 | - | - | 48 | 1 | 1 | - | - | - | 0 | 0 | |MDU | (PCIN>>17)+A2*B | 18 | 15 | - | - | 48 | 1 | 0 | - | - | - | 0 | 1 | +------------+-----------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once. --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Applying XDC Timing Constraints : Time (s): cpu = 00:03:09 ; elapsed = 00:03:13 . Memory (MB): peak = 2359.469 ; gain = 730.312 ; free physical = 715 ; free virtual = 22634 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Timing Optimization : Time (s): cpu = 00:03:34 ; elapsed = 00:03:38 . Memory (MB): peak = 2359.469 ; gain = 730.312 ; free physical = 709 ; free virtual = 22629 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- Distributed RAM: Final Mapping Report +---------------------------+---------------------------------------+-----------+----------------------+------------------+ |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | +---------------------------+---------------------------------------+-----------+----------------------+------------------+ |processorci_top | u_Controller/Uart/tx_fifo/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | u_Controller/Uart/rx_fifo/memory_reg | Implied | 8 x 8 | RAM32M x 2 | |processorci_top | u_Controller/Core_Memory/memory_reg | Implied | 2 K x 32 | RAM256X1S x 256 | |\Processor/N1 /First_Stage | Branch_Prediction/address_to_jump_reg | Implied | 128 x 32 | RAM64M x 66 | |processorci_top | Processor/ICache/cache_tag_reg | Implied | 64 x 24 | RAM64X1S x 24 | |processorci_top | Processor/ICache/cache_data_reg | Implied | 64 x 32 | RAM64X1S x 32 | |processorci_top | Processor/DCache/cache_tag_reg | Implied | 64 x 24 | RAM64X1S x 24 | |processorci_top | Processor/DCache/cache_data_reg | Implied | 64 x 32 | RAM64X1S x 32 | +---------------------------+---------------------------------------+-----------+----------------------+------------------+ --------------------------------------------------------------------------------- Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Technology Mapping : Time (s): cpu = 00:03:51 ; elapsed = 00:03:55 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 633 ; free virtual = 22554 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished IO Insertion : Time (s): cpu = 00:04:02 ; elapsed = 00:04:06 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 628 ; free virtual = 22549 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Instances : Time (s): cpu = 00:04:02 ; elapsed = 00:04:06 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 622 ; free virtual = 22543 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Rebuilding User Hierarchy : Time (s): cpu = 00:04:04 ; elapsed = 00:04:09 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 636 ; free virtual = 22559 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Ports : Time (s): cpu = 00:04:05 ; elapsed = 00:04:09 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 636 ; free virtual = 22559 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Handling Custom Attributes : Time (s): cpu = 00:04:06 ; elapsed = 00:04:10 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 631 ; free virtual = 22556 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Nets : Time (s): cpu = 00:04:06 ; elapsed = 00:04:10 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 628 ; free virtual = 22552 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- DSP Final Report (the ' indicates corresponding REG is set) +------------+-------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | +------------+-------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ |MDU | A'*B' | 17 | 18 | - | - | 48 | 1 | 1 | - | - | - | 0 | 0 | |MDU | (PCIN>>17+A'*B')' | 30 | 18 | - | - | 48 | 1 | 1 | - | - | - | 0 | 1 | |MDU | A'*B' | 17 | 17 | - | - | 48 | 1 | 1 | - | - | - | 0 | 0 | |MDU | (PCIN>>17+A'*B')' | 17 | 18 | - | - | 48 | 1 | 1 | - | - | - | 0 | 1 | +------------+-------------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+ Report BlackBoxes: +-+--------------+----------+ | |BlackBox name |Instances | +-+--------------+----------+ +-+--------------+----------+ Report Cell Usage: +------+----------+------+ | |Cell |Count | +------+----------+------+ |1 |BUFG | 3| |2 |CARRY4 | 268| |3 |DSP48E1 | 4| |5 |LUT1 | 175| |6 |LUT2 | 627| |7 |LUT3 | 683| |8 |LUT4 | 583| |9 |LUT5 | 892| |10 |LUT6 | 2922| |11 |MUXF7 | 391| |12 |MUXF8 | 29| |13 |RAM256X1S | 256| |14 |RAM32M | 2| |15 |RAM32X1D | 4| |16 |RAM64M | 66| |17 |RAM64X1S | 112| |18 |FDCE | 32| |19 |FDRE | 3489| |20 |FDSE | 28| |21 |IBUF | 2| |22 |OBUF | 1| |23 |OBUFT | 2| +------+----------+------+ --------------------------------------------------------------------------------- Finished Writing Synthesis Report : Time (s): cpu = 00:04:06 ; elapsed = 00:04:10 . Memory (MB): peak = 2361.418 ; gain = 732.262 ; free physical = 625 ; free virtual = 22549 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 391 warnings. Synthesis Optimization Runtime : Time (s): cpu = 00:03:58 ; elapsed = 00:04:03 . Memory (MB): peak = 2361.418 ; gain = 594.477 ; free physical = 637 ; free virtual = 22561 Synthesis Optimization Complete : Time (s): cpu = 00:04:06 ; elapsed = 00:04:11 . Memory (MB): peak = 2361.426 ; gain = 732.262 ; free physical = 637 ; free virtual = 22561 INFO: [Project 1-571] Translating synthesized netlist Netlist sorting complete. Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.18 . Memory (MB): peak = 2361.426 ; gain = 0.000 ; free physical = 922 ; free virtual = 22847 INFO: [Netlist 29-17] Analyzing 1132 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] WARNING: [Vivado 12-507] No nets matched 'sck_IBUF'. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc:112] Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. Finished Parsing XDC File [/eda/processor_ci/constraints/digilent_arty_a7_100t.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2457.465 ; gain = 0.000 ; free physical = 926 ; free virtual = 22851 INFO: [Project 1-111] Unisim Transformation Summary: A total of 440 instances were transformed. RAM256X1S => RAM256X1S (MUXF7(x2), MUXF8, RAMS64E(x4)): 256 instances RAM32M => RAM32M (RAMD32(x6), RAMS32(x2)): 2 instances RAM32X1D => RAM32X1D (RAMD32(x2)): 4 instances RAM64M => RAM64M (RAMD64E(x4)): 66 instances RAM64X1S => RAM64X1S (RAMS64E): 112 instances Synth Design complete | Checksum: c3ae0fae INFO: [Common 17-83] Releasing license: Synthesis 121 Infos, 175 Warnings, 2 Critical Warnings and 0 Errors encountered. synth_design completed successfully synth_design: Time (s): cpu = 00:04:28 ; elapsed = 00:04:27 . Memory (MB): peak = 2457.500 ; gain = 1152.383 ; free physical = 918 ; free virtual = 22843 INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2191.497; main = 1896.271; forked = 434.702 INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3343.758; main = 2457.469; forked = 982.336 # opt_design Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command opt_design Starting DRC Task INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2521.496 ; gain = 63.996 ; free physical = 924 ; free virtual = 22849 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. Ending Cache Timing Information Task | Checksum: 23b757954 Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2553.512 ; gain = 32.016 ; free physical = 889 ; free virtual = 22815 Starting Logic Optimization Task Phase 1 Initialization Phase 1.1 Core Generation And Design Setup Phase 1.1 Core Generation And Design Setup | Checksum: 23b757954 Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 681 ; free virtual = 22606 Phase 1.2 Setup Constraints And Sort Netlist Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 23b757954 Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 681 ; free virtual = 22606 Phase 1 Initialization | Checksum: 23b757954 Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 681 ; free virtual = 22606 Phase 2 Timer Update And Timing Data Collection Phase 2.1 Timer Update Phase 2.1 Timer Update | Checksum: 23b757954 Time (s): cpu = 00:00:00.81 ; elapsed = 00:00:00.53 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 681 ; free virtual = 22606 Phase 2.2 Timing Data Collection Phase 2.2 Timing Data Collection | Checksum: 23b757954 Time (s): cpu = 00:00:00.87 ; elapsed = 00:00:00.59 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 679 ; free virtual = 22604 Phase 2 Timer Update And Timing Data Collection | Checksum: 23b757954 Time (s): cpu = 00:00:00.87 ; elapsed = 00:00:00.59 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 679 ; free virtual = 22604 Phase 3 Retarget INFO: [Opt 31-1566] Pulled 3 inverters resulting in an inversion of 25 pins INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). Phase 3 Retarget | Checksum: 21e1dea10 Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 676 ; free virtual = 22601 Retarget | Checksum: 21e1dea10 INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 3 cells Phase 4 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Phase 4 Constant propagation | Checksum: 1cdb67bf7 Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 674 ; free virtual = 22599 Constant propagation | Checksum: 1cdb67bf7 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 5 Sweep Phase 5 Sweep | Checksum: 23176b091 Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2761.512 ; gain = 0.000 ; free physical = 677 ; free virtual = 22602 Sweep | Checksum: 23176b091 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells Phase 6 BUFG optimization Phase 6 BUFG optimization | Checksum: 23176b091 Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2793.527 ; gain = 32.016 ; free physical = 664 ; free virtual = 22589 BUFG optimization | Checksum: 23176b091 INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. Phase 7 Shift Register Optimization INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs Phase 7 Shift Register Optimization | Checksum: 23176b091 Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2793.527 ; gain = 32.016 ; free physical = 662 ; free virtual = 22587 Shift Register Optimization | Checksum: 23176b091 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 8 Post Processing Netlist Phase 8 Post Processing Netlist | Checksum: 23176b091 Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 2793.527 ; gain = 32.016 ; free physical = 675 ; free virtual = 22600 Post Processing Netlist | Checksum: 23176b091 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Phase 9 Finalization Phase 9.1 Finalizing Design Cores and Updating Shapes Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 1b1811ef6 Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2793.527 ; gain = 32.016 ; free physical = 674 ; free virtual = 22599 Phase 9.2 Verifying Netlist Connectivity Starting Connectivity Check Task Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.08 . Memory (MB): peak = 2793.527 ; gain = 0.000 ; free physical = 674 ; free virtual = 22599 Phase 9.2 Verifying Netlist Connectivity | Checksum: 1b1811ef6 Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2793.527 ; gain = 32.016 ; free physical = 674 ; free virtual = 22599 Phase 9 Finalization | Checksum: 1b1811ef6 Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2793.527 ; gain = 32.016 ; free physical = 674 ; free virtual = 22599 Opt_design Change Summary ========================= ------------------------------------------------------------------------------------------------------------------------- | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | ------------------------------------------------------------------------------------------------------------------------- | Retarget | 0 | 3 | 0 | | Constant propagation | 0 | 0 | 0 | | Sweep | 0 | 1 | 0 | | BUFG optimization | 0 | 0 | 0 | | Shift Register Optimization | 0 | 0 | 0 | | Post Processing Netlist | 0 | 0 | 0 | ------------------------------------------------------------------------------------------------------------------------- Ending Logic Optimization Task | Checksum: 1b1811ef6 Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2793.527 ; gain = 32.016 ; free physical = 674 ; free virtual = 22599 INFO: [Constraints 18-11670] Building netlist checker database with flags, 0x8 Done building netlist checker database: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2793.527 ; gain = 0.000 ; free physical = 674 ; free virtual = 22599 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. Ending Power Optimization Task | Checksum: 1b1811ef6 Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2793.527 ; gain = 0.000 ; free physical = 674 ; free virtual = 22599 Starting Final Cleanup Task Ending Final Cleanup Task | Checksum: 1b1811ef6 Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2793.527 ; gain = 0.000 ; free physical = 674 ; free virtual = 22599 Starting Netlist Obfuscation Task Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2793.527 ; gain = 0.000 ; free physical = 674 ; free virtual = 22599 Ending Netlist Obfuscation Task | Checksum: 1b1811ef6 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2793.527 ; gain = 0.000 ; free physical = 674 ; free virtual = 22599 INFO: [Common 17-83] Releasing license: Implementation 19 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully opt_design: Time (s): cpu = 00:00:19 ; elapsed = 00:00:17 . Memory (MB): peak = 2793.527 ; gain = 336.027 ; free physical = 674 ; free virtual = 22599 # place_design Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-83] Releasing license: Implementation INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. Running DRC as a precondition to command place_design INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs Starting Placer Task Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2825.543 ; gain = 0.000 ; free physical = 661 ; free virtual = 22586 Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 193fcdd36 Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2825.543 ; gain = 0.000 ; free physical = 661 ; free virtual = 22586 Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2825.543 ; gain = 0.000 ; free physical = 660 ; free virtual = 22585 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 12489f632 Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 2825.543 ; gain = 0.000 ; free physical = 679 ; free virtual = 22604 Phase 1.3 Build Placer Netlist Model Phase 1.3 Build Placer Netlist Model | Checksum: 19ab5993e Time (s): cpu = 00:00:17 ; elapsed = 00:00:11 . Memory (MB): peak = 2832.570 ; gain = 7.027 ; free physical = 682 ; free virtual = 22607 Phase 1.4 Constrain Clocks/Macros Phase 1.4 Constrain Clocks/Macros | Checksum: 19ab5993e Time (s): cpu = 00:00:17 ; elapsed = 00:00:11 . Memory (MB): peak = 2832.570 ; gain = 7.027 ; free physical = 682 ; free virtual = 22607 Phase 1 Placer Initialization | Checksum: 19ab5993e Time (s): cpu = 00:00:17 ; elapsed = 00:00:11 . Memory (MB): peak = 2832.570 ; gain = 7.027 ; free physical = 677 ; free virtual = 22602 Phase 2 Global Placement Phase 2.1 Floorplanning Phase 2.1 Floorplanning | Checksum: 1d52dac2e Time (s): cpu = 00:00:18 ; elapsed = 00:00:12 . Memory (MB): peak = 2832.570 ; gain = 7.027 ; free physical = 674 ; free virtual = 22599 Phase 2.2 Update Timing before SLR Path Opt Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1494c75d2 Time (s): cpu = 00:00:19 ; elapsed = 00:00:12 . Memory (MB): peak = 2832.570 ; gain = 7.027 ; free physical = 674 ; free virtual = 22599 Phase 2.3 Post-Processing in Floorplanning Phase 2.3 Post-Processing in Floorplanning | Checksum: 1494c75d2 Time (s): cpu = 00:00:19 ; elapsed = 00:00:12 . Memory (MB): peak = 2832.570 ; gain = 7.027 ; free physical = 674 ; free virtual = 22599 Phase 2.4 Global Placement Core Phase 2.4.1 UpdateTiming Before Physical Synthesis Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 23ccfeb65 Time (s): cpu = 00:00:51 ; elapsed = 00:00:31 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 671 ; free virtual = 22596 Phase 2.4.2 Physical Synthesis In Placer INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 245 LUT instances to create LUTNM shape INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 INFO: [Physopt 32-1138] End 1 Pass. Optimized 98 nets or LUTs. Breaked 0 LUT, combined 98 existing LUTs and moved 0 existing LUT INFO: [Physopt 32-65] No nets found for high-fanout optimization. INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2872.590 ; gain = 0.000 ; free physical = 676 ; free virtual = 22601 Summary of Physical Synthesis Optimizations ============================================ ----------------------------------------------------------------------------------------------------------------------------------------------------------- | Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ----------------------------------------------------------------------------------------------------------------------------------------------------------- | LUT Combining | 0 | 98 | 98 | 0 | 1 | 00:00:01 | | Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | | Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | | Total | 0 | 98 | 98 | 0 | 4 | 00:00:02 | ----------------------------------------------------------------------------------------------------------------------------------------------------------- Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1d58c9d8d Time (s): cpu = 00:00:54 ; elapsed = 00:00:34 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 670 ; free virtual = 22595 Phase 2.4 Global Placement Core | Checksum: 1f6909651 Time (s): cpu = 00:02:05 ; elapsed = 00:01:12 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 652 ; free virtual = 22578 Phase 2 Global Placement | Checksum: 1f6909651 Time (s): cpu = 00:02:05 ; elapsed = 00:01:12 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 652 ; free virtual = 22578 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros Phase 3.1 Commit Multi Column Macros | Checksum: 1e7f1aafc Time (s): cpu = 00:02:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 646 ; free virtual = 22572 Phase 3.2 Commit Most Macros & LUTRAMs Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1b03600b0 Time (s): cpu = 00:02:07 ; elapsed = 00:01:14 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 652 ; free virtual = 22578 Phase 3.3 Area Swap Optimization Phase 3.3 Area Swap Optimization | Checksum: 221f4eea0 Time (s): cpu = 00:02:08 ; elapsed = 00:01:14 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 652 ; free virtual = 22579 Phase 3.4 Pipeline Register Optimization Phase 3.4 Pipeline Register Optimization | Checksum: 1c9ab38ca Time (s): cpu = 00:02:08 ; elapsed = 00:01:14 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 652 ; free virtual = 22579 Phase 3.5 Small Shape Detail Placement Phase 3.5 Small Shape Detail Placement | Checksum: 2054cd513 Time (s): cpu = 00:02:15 ; elapsed = 00:01:21 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 648 ; free virtual = 22577 Phase 3.6 Re-assign LUT pins Phase 3.6 Re-assign LUT pins | Checksum: 156e82c10 Time (s): cpu = 00:02:16 ; elapsed = 00:01:23 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 651 ; free virtual = 22580 Phase 3.7 Pipeline Register Optimization Phase 3.7 Pipeline Register Optimization | Checksum: 12f40e626 Time (s): cpu = 00:02:16 ; elapsed = 00:01:23 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 651 ; free virtual = 22580 Phase 3 Detail Placement | Checksum: 12f40e626 Time (s): cpu = 00:02:16 ; elapsed = 00:01:23 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 651 ; free virtual = 22580 Phase 4 Post Placement Optimization and Clean-Up Phase 4.1 Post Commit Optimization INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 4.1.1 Post Placement Optimization Post Placement Optimization Initialization | Checksum: f4d79eb6 Phase 4.1.1.1 BUFG Insertion Starting Physical Synthesis Task Phase 1 Physical Synthesis Initialization INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs INFO: [Physopt 32-619] Estimated Timing Summary | WNS=8.875 | TNS=0.000 | Phase 1 Physical Synthesis Initialization | Checksum: 968a1043 Time (s): cpu = 00:00:00.88 ; elapsed = 00:00:00.57 . Memory (MB): peak = 2872.590 ; gain = 0.000 ; free physical = 649 ; free virtual = 22578 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. Ending Physical Synthesis Task | Checksum: 968a1043 Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.94 . Memory (MB): peak = 2872.590 ; gain = 0.000 ; free physical = 649 ; free virtual = 22578 Phase 4.1.1.1 BUFG Insertion | Checksum: f4d79eb6 Time (s): cpu = 00:02:29 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 648 ; free virtual = 22577 Phase 4.1.1.2 Post Placement Timing Optimization INFO: [Place 30-746] Post Placement Timing Summary WNS=8.875. For the most accurate timing information please run report_timing. Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: ab154939 Time (s): cpu = 00:02:30 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 638 ; free virtual = 22567 Time (s): cpu = 00:02:30 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 638 ; free virtual = 22567 Phase 4.1 Post Commit Optimization | Checksum: ab154939 Time (s): cpu = 00:02:30 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 649 ; free virtual = 22578 Phase 4.2 Post Placement Cleanup Phase 4.2 Post Placement Cleanup | Checksum: ab154939 Time (s): cpu = 00:02:30 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 647 ; free virtual = 22576 Phase 4.3 Placer Reporting Phase 4.3.1 Print Estimated Congestion INFO: [Place 30-612] Post-Placement Estimated Congestion ____________________________________________________ | | Global Congestion | Short Congestion | | Direction | Region Size | Region Size | |___________|___________________|___________________| | North| 1x1| 1x1| |___________|___________________|___________________| | South| 1x1| 2x2| |___________|___________________|___________________| | East| 1x1| 1x1| |___________|___________________|___________________| | West| 1x1| 1x1| |___________|___________________|___________________| Phase 4.3.1 Print Estimated Congestion | Checksum: ab154939 Time (s): cpu = 00:02:30 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 650 ; free virtual = 22579 Phase 4.3 Placer Reporting | Checksum: ab154939 Time (s): cpu = 00:02:30 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 649 ; free virtual = 22578 Phase 4.4 Final Placement Cleanup Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2872.590 ; gain = 0.000 ; free physical = 649 ; free virtual = 22578 Time (s): cpu = 00:02:30 ; elapsed = 00:01:32 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 649 ; free virtual = 22578 Phase 4 Post Placement Optimization and Clean-Up | Checksum: b8b31a90 Time (s): cpu = 00:02:31 ; elapsed = 00:01:33 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 649 ; free virtual = 22578 Ending Placer Task | Checksum: 86a39fda Time (s): cpu = 00:02:31 ; elapsed = 00:01:33 . Memory (MB): peak = 2872.590 ; gain = 47.047 ; free physical = 649 ; free virtual = 22578 29 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully place_design: Time (s): cpu = 00:02:34 ; elapsed = 00:01:34 . Memory (MB): peak = 2872.590 ; gain = 79.062 ; free physical = 649 ; free virtual = 22578 # report_utilization -hierarchical -file digilent_arty_a7_utilization_hierarchical_place.rpt # report_utilization -file digilent_arty_a7_utilization_place.rpt # report_io -file digilent_arty_a7_io.rpt report_io: Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.18 . Memory (MB): peak = 2872.590 ; gain = 0.000 ; free physical = 649 ; free virtual = 22578 # report_control_sets -verbose -file digilent_arty_a7_control_sets.rpt report_control_sets: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.08 . Memory (MB): peak = 2872.590 ; gain = 0.000 ; free physical = 649 ; free virtual = 22578 # report_clock_utilization -file digilent_arty_a7_clock_utilization.rpt # route_design Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command route_design INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs Phase 1 Build RT Design Checksum: PlaceDB: 12f0b892 ConstDB: 0 ShapeSum: 73b2e748 RouteDB: 0 Post Restoration Checksum: NetGraph: 51e74462 | NumContArr: 7aca9cb0 | Constraints: c2a8fa9d | Timing: c2a8fa9d Phase 1 Build RT Design | Checksum: 25203d64c Time (s): cpu = 00:01:34 ; elapsed = 00:01:20 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 639 ; free virtual = 22576 Phase 2 Router Initialization Phase 2.1 Fix Topology Constraints Phase 2.1 Fix Topology Constraints | Checksum: 25203d64c Time (s): cpu = 00:01:35 ; elapsed = 00:01:20 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 636 ; free virtual = 22573 Phase 2.2 Pre Route Cleanup Phase 2.2 Pre Route Cleanup | Checksum: 25203d64c Time (s): cpu = 00:01:35 ; elapsed = 00:01:20 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 631 ; free virtual = 22568 Number of Nodes with overlaps = 0 Phase 2.3 Update Timing Phase 2.3 Update Timing | Checksum: 25fe3934c Time (s): cpu = 00:01:49 ; elapsed = 00:01:28 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 625 ; free virtual = 22562 INFO: [Route 35-416] Intermediate Timing Summary | WNS=8.797 | TNS=0.000 | WHS=0.008 | THS=0.000 | Router Utilization Summary Global Vertical Routing Utilization = 0.0184097 % Global Horizontal Routing Utilization = 0.00802785 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. Number of Failed Nets = 8427 (Failed Nets is the sum of unrouted and partially routed nets) Number of Unrouted Nets = 8390 Number of Partially Routed Nets = 37 Number of Node Overlaps = 34 Phase 2 Router Initialization | Checksum: 2ce2ce7f2 Time (s): cpu = 00:01:53 ; elapsed = 00:01:31 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 633 ; free virtual = 22570 Phase 3 Initial Routing Phase 3.1 Global Routing Phase 3.1 Global Routing | Checksum: 2ce2ce7f2 Time (s): cpu = 00:01:53 ; elapsed = 00:01:31 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 633 ; free virtual = 22570 Phase 3.2 Initial Net Routing Phase 3.2 Initial Net Routing | Checksum: 1cc3b2014 Time (s): cpu = 00:01:58 ; elapsed = 00:01:33 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 630 ; free virtual = 22567 Phase 3 Initial Routing | Checksum: 1cc3b2014 Time (s): cpu = 00:01:58 ; elapsed = 00:01:33 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 632 ; free virtual = 22568 Phase 4 Rip-up And Reroute Phase 4.1 Global Iteration 0 Number of Nodes with overlaps = 854 Number of Nodes with overlaps = 2 Number of Nodes with overlaps = 0 INFO: [Route 35-416] Intermediate Timing Summary | WNS=8.530 | TNS=0.000 | WHS=N/A | THS=N/A | Phase 4.1 Global Iteration 0 | Checksum: 33920b0ae Time (s): cpu = 00:02:09 ; elapsed = 00:01:42 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 4 Rip-up And Reroute | Checksum: 33920b0ae Time (s): cpu = 00:02:09 ; elapsed = 00:01:42 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 5 Delay and Skew Optimization Phase 5.1 Delay CleanUp Phase 5.1 Delay CleanUp | Checksum: 33920b0ae Time (s): cpu = 00:02:09 ; elapsed = 00:01:42 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 5.2 Clock Skew Optimization Phase 5.2 Clock Skew Optimization | Checksum: 33920b0ae Time (s): cpu = 00:02:09 ; elapsed = 00:01:42 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 5 Delay and Skew Optimization | Checksum: 33920b0ae Time (s): cpu = 00:02:09 ; elapsed = 00:01:42 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 6 Post Hold Fix Phase 6.1 Hold Fix Iter Phase 6.1.1 Update Timing Phase 6.1.1 Update Timing | Checksum: 287f9a6f3 Time (s): cpu = 00:02:10 ; elapsed = 00:01:43 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 INFO: [Route 35-416] Intermediate Timing Summary | WNS=8.626 | TNS=0.000 | WHS=0.374 | THS=0.000 | Phase 6.1 Hold Fix Iter | Checksum: 287f9a6f3 Time (s): cpu = 00:02:11 ; elapsed = 00:01:43 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 6 Post Hold Fix | Checksum: 287f9a6f3 Time (s): cpu = 00:02:11 ; elapsed = 00:01:43 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 7 Route finalize Router Utilization Summary Global Vertical Routing Utilization = 1.77121 % Global Horizontal Routing Utilization = 2.26989 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. Number of Failed Nets = 0 (Failed Nets is the sum of unrouted and partially routed nets) Number of Unrouted Nets = 0 Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 Phase 7 Route finalize | Checksum: 287f9a6f3 Time (s): cpu = 00:02:11 ; elapsed = 00:01:43 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 8 Verifying routed nets Verification completed successfully Phase 8 Verifying routed nets | Checksum: 287f9a6f3 Time (s): cpu = 00:02:11 ; elapsed = 00:01:43 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 635 ; free virtual = 22572 Phase 9 Depositing Routes Phase 9 Depositing Routes | Checksum: 2960426fe Time (s): cpu = 00:02:14 ; elapsed = 00:01:46 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 638 ; free virtual = 22574 Phase 10 Post Router Timing INFO: [Route 35-57] Estimated Timing Summary | WNS=8.626 | TNS=0.000 | WHS=0.374 | THS=0.000 | INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. Phase 10 Post Router Timing | Checksum: 2960426fe Time (s): cpu = 00:02:15 ; elapsed = 00:01:46 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 637 ; free virtual = 22574 INFO: [Route 35-16] Router Completed Successfully Phase 11 Post-Route Event Processing Phase 11 Post-Route Event Processing | Checksum: cf9e4c31 Time (s): cpu = 00:02:16 ; elapsed = 00:01:47 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 637 ; free virtual = 22574 Ending Routing Task | Checksum: cf9e4c31 Time (s): cpu = 00:02:16 ; elapsed = 00:01:47 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 629 ; free virtual = 22566 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation 12 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully route_design: Time (s): cpu = 00:02:21 ; elapsed = 00:01:51 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 630 ; free virtual = 22567 # report_timing_summary -no_header -no_detailed_paths INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs ------------------------------------------------------------------------------------------------ | Timer Settings | -------------- ------------------------------------------------------------------------------------------------ Enable Multi Corner Analysis : Yes Enable Pessimism Removal : Yes Pessimism Removal Resolution : Nearest Common Node Enable Input Delay Default Clock : No Enable Preset / Clear Arcs : No Disable Flight Delays : No Ignore I/O Paths : No Timing Early Launch at Borrowing Latches : No Borrow Time for Max Delay Exceptions : Yes Merge Timing Exceptions : Yes Inter-SLR Compensation : Conservative Corner Analyze Analyze Name Max Paths Min Paths ------ --------- --------- Slow Yes Yes Fast Yes Yes ------------------------------------------------------------------------------------------------ | Report Methodology | ------------------ ------------------------------------------------------------------------------------------------ No report available as report_methodology has not been run prior. Run report_methodology on the current design for the summary of methodology violations. check_timing report Table of Contents ----------------- 1. checking no_clock (109392) 2. checking constant_clock (0) 3. checking pulse_width_clock (0) 4. checking unconstrained_internal_endpoints (21592) 5. checking no_input_delay (1) 6. checking no_output_delay (1) 7. checking multiple_clock (0) 8. checking generated_clocks (0) 9. checking loops (0) 10. checking partial_input_delay (0) 11. checking partial_output_delay (0) 12. checking latch_loops (0) 1. checking no_clock (109392) ----------------------------- There are 4976 register/latch pins with no clock driven by root clock pin: clk_o_reg/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[0]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[10]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[11]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[12]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[13]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[14]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[15]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[16]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[17]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[18]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[19]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[1]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[20]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[21]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[22]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[23]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[24]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[25]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[26]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[27]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[28]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[29]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[2]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[30]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[31]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[3]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[4]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[5]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[6]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[7]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[8]/Q (HIGH) There are 3263 register/latch pins with no clock driven by root clock pin: u_Controller/ClkDivider/pulse_counter_reg[9]/Q (HIGH) 2. checking constant_clock (0) ------------------------------ There are 0 register/latch pins with constant_clock. 3. checking pulse_width_clock (0) --------------------------------- There are 0 register/latch pins which need pulse_width check 4. checking unconstrained_internal_endpoints (21592) ---------------------------------------------------- There are 21592 pins that are not constrained for maximum delay. (HIGH) There are 0 pins that are not constrained for maximum delay due to constant clock. 5. checking no_input_delay (1) ------------------------------ There is 1 input port with no input delay specified. (HIGH) There are 0 input ports with no input delay but user has a false path constraint. 6. checking no_output_delay (1) ------------------------------- There is 1 port with no output delay specified. (HIGH) There are 0 ports with no output delay but user has a false path constraint There are 0 ports with no output delay but with a timing clock defined on it or propagating through it 7. checking multiple_clock (0) ------------------------------ There are 0 register/latch pins with multiple clocks. 8. checking generated_clocks (0) -------------------------------- There are 0 generated clocks that are not connected to a clock source. 9. checking loops (0) --------------------- There are 0 combinational loops in the design. 10. checking partial_input_delay (0) ------------------------------------ There are 0 input ports with partial input delay specified. 11. checking partial_output_delay (0) ------------------------------------- There are 0 ports with partial output delay specified. 12. checking latch_loops (0) ---------------------------- There are 0 combinational latch loops in the design through latch input ------------------------------------------------------------------------------------------------ | Design Timing Summary | --------------------- ------------------------------------------------------------------------------------------------ WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- 8.651 0.000 0 1 0.390 0.000 0 1 4.500 0.000 0 2 All user specified timing constraints are met. ------------------------------------------------------------------------------------------------ | Clock Summary | ------------- ------------------------------------------------------------------------------------------------ Clock Waveform(ns) Period(ns) Frequency(MHz) ----- ------------ ---------- -------------- sck {0.000 50.000} 100.000 10.000 sys_clk_pin {0.000 5.000} 10.000 100.000 ------------------------------------------------------------------------------------------------ | Intra Clock Table | ----------------- ------------------------------------------------------------------------------------------------ Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- sys_clk_pin 8.651 0.000 0 1 0.390 0.000 0 1 4.500 0.000 0 2 ------------------------------------------------------------------------------------------------ | Inter Clock Table | ----------------- ------------------------------------------------------------------------------------------------ From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- ------------------------------------------------------------------------------------------------ | Other Path Groups Table | ----------------------- ------------------------------------------------------------------------------------------------ Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- report_timing_summary: Time (s): cpu = 00:00:13 ; elapsed = 00:00:07 . Memory (MB): peak = 2888.598 ; gain = 0.000 ; free physical = 630 ; free virtual = 22567 # report_route_status -file digilent_arty_a7_route_status.rpt # report_drc -file digilent_arty_a7_drc.rpt Command: report_drc -file digilent_arty_a7_drc.rpt INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/eda/vivado/Vivado/2023.2/data/ip'. INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 2-168] The results of DRC are in file /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/digilent_arty_a7_drc.rpt. report_drc completed successfully # report_timing_summary -datasheet -max_paths 10 -file digilent_arty_a7_timing.rpt INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs # report_power -file digilent_arty_a7_power.rpt Command: report_power -file digilent_arty_a7_power.rpt Running Vector-less Activity Propagation... Finished Running Vector-less Activity Propagation WARNING: [Power 33-332] Found switching activity that implies high-fanout reset nets being asserted for excessive periods of time which may result in inaccurate power analysis. Resolution: To review and fix problems, please run Power Constraints Advisor in the GUI from Tools > Power Constraints Advisor or run report_power with the -advisory option to generate a text report. 0 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. report_power completed successfully # write_bitstream -force "digilent_arty_a7_100t.bit" Command: write_bitstream -force digilent_arty_a7_100t.bit Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t' Running DRC as a precondition to command write_bitstream INFO: [IP_Flow 19-1839] IP Catalog is up to date. INFO: [DRC 23-27] Running DRC with 8 threads WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: set_property CFGBVS value1 [current_design] #where value1 is either VCCO or GND set_property CONFIG_VOLTAGE value2 [current_design] #where value2 is the voltage provided to configuration bank 0 Refer to the device configuration user guide for more information. WARNING: [DRC DPOP-1] PREG Output pipelining: DSP Processor/N1/Second_Stage/Mdu/acumulador0 output Processor/N1/Second_Stage/Mdu/acumulador0/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. WARNING: [DRC DPOP-1] PREG Output pipelining: DSP Processor/N1/Second_Stage/Mdu/acumulador0__0 output Processor/N1/Second_Stage/Mdu/acumulador0__0/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function. If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function. If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Processor/N1/Second_Stage/Mdu/acumulador0 multiplier stage Processor/N1/Second_Stage/Mdu/acumulador0/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Processor/N1/Second_Stage/Mdu/acumulador0__0 multiplier stage Processor/N1/Second_Stage/Mdu/acumulador0__0/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Processor/N1/Second_Stage/Mdu/acumulador_reg multiplier stage Processor/N1/Second_Stage/Mdu/acumulador_reg/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. WARNING: [DRC DPOP-2] MREG Output pipelining: DSP Processor/N1/Second_Stage/Mdu/acumulador_reg__0 multiplier stage Processor/N1/Second_Stage/Mdu/acumulador_reg__0/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function. If this multiplier was inferred, it is suggested to describe an additional register stage after this function. If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used. If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions. INFO: [Vivado 12-3199] DRC finished with 0 Errors, 7 Warnings INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. INFO: [Designutils 20-2272] Running write_bitstream with 8 threads. Loading data files... Loading site data... Loading route data... Processing options... Creating bitmap... Creating bitstream... Writing bitstream ./digilent_arty_a7_100t.bit... INFO: [Vivado 12-1842] Bitgen Completed Successfully. INFO: [Project 1-1876] WebTalk data collection is mandatory when using a ULT device. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. INFO: [Common 17-83] Releasing license: Implementation 9 Infos, 7 Warnings, 0 Critical Warnings and 0 Errors encountered. write_bitstream completed successfully write_bitstream: Time (s): cpu = 00:00:40 ; elapsed = 00:00:38 . Memory (MB): peak = 3185.047 ; gain = 237.004 ; free physical = 439 ; free virtual = 22201 # exit INFO: [Common 17-206] Exiting Vivado at Tue Apr 8 23:56:48 2025... [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Flash digilent_arty_a7_100t) [Pipeline] dir Running in /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5 [Pipeline] { [Pipeline] echo Flashing FPGA digilent_arty_a7_100t. [Pipeline] sh + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config -p Grande-Risco-5 -b digilent_arty_a7_100t -l Makefile executed successfully. Makefile output: Flashing the FPGA... /eda/oss-cad-suite/bin/openFPGALoader -b arty_a7_100t digilent_arty_a7_100t.bit empty Jtag frequency : requested 10.00MHz -> real 10.00MHz Open file DONE Parse file DONE load program Load SRAM: [=============== ] 30.00% Load SRAM: [=============================== ] 62.00% Load SRAM: [=============================================== ] 94.00% Load SRAM: [===================================================] 100.00% Done Shift IR 35 ir: 1 isc_done 1 isc_ena 0 init 1 done 1 [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Test digilent_arty_a7_100t) [Pipeline] echo Testing FPGA digilent_arty_a7_100t. [Pipeline] sh + echo Test for FPGA in /dev/ttyUSB1 Test for FPGA in /dev/ttyUSB1 [Pipeline] sh + python3 /eda/processor_ci_tests/main.py -b 115200 -s 2 -c /eda/processor_ci_tests/config.json --p /dev/ttyUSB1 -m rv32i -k 0x41525459 32 Connected to FPGA with ID: b'ARTY' Checking for sync keyword... Sync keyword matched. Testsuite configurated. Running tests: RV32I in /eda/processor_ci_tests/tests/RV32I Running basic tests in /eda/processor_ci_tests/tests/RV32I/basic, with breakpoint 60 Running test: 000-addi.hex Running test: 001-sw.hex Running test: 002-slti.hex Running test: 003-sltiu.hex Running test: 004-xori.hex Running test: 005-ori.hex Running test: 006-andi.hex Running test: 007-slli.hex Running test: 008-srli.hex Running test: 009-srai.hex Running test: 010-lui.hex Running test: 011-auipc.hex Running test: 012-jal.hex Running test: 013-jalr.hex Running test: 014-beq.hex Running test: 015-bne.hex Running test: 016-blt.hex Running test: 017-bge.hex Running test: 018-bltu.hex Running test: 019-bgeu.hex Running test: 020-lb.hex Running test: 021-lh.hex Running test: 022-lw.hex Running test: 023-lbu.hex Running test: 024-lhu.hex Running test: 025-sb.hex Running test: 026-sh.hex Running test: 027-add.hex Running test: 028-sub.hex Running test: 029-sll.hex Running test: 030-slt.hex Running test: 031-sltu.hex Running test: 032-xor.hex Running test: 033-srl.hex Running test: 034-sra.hex Running test: 035-or.hex Running test: 036-and.hex Running test: 037-fence.hex Running test: 038-ecall.hex Running test: 039-ebreak.hex Running test: 040-timeout.hex Running test: 041-forwarding.hex Running test: 042-forwarding-lw.hex JUnit XML report generated: test_results_1744171031.6363573.xml All tests finished. [Pipeline] } [Pipeline] // stage [Pipeline] } Lock released on resource [Resource: digilent_arty_a7_100t] [Pipeline] // lock [Pipeline] } [Pipeline] // stage [Pipeline] } [LOCK] Criado: run.lock File 'processor_ci_defines.vh' generated for board: 'colorlight_i9'. Final configuration file generated at /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/build_colorlight_i9.tcl [LOCK] Removido: run.lock Makefile executed successfully. Makefile output: /eda/oss-cad-suite/bin/synlig -c /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/build_colorlight_i9.tcl 1. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/grande_risco5_types.sv:8:28: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 2. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:49:59: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 3. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:50:74: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 4. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:81:40: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 5. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:25:22: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 6. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:74:63: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 7. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:77:11: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 8. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 9. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:6:72: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 10. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 11. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:46:95: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 12. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:130:63: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 13. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 14. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:3:77: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 15. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 16. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:7:60: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 17. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:220:77: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 18. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 19. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 20. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:7:60: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 21. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 22. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv:224:8: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 23. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [NTE:PP0128] /eda/processor_ci/rtl/Grande-Risco-5.sv:158:50: Non ASCII character detected, replaced by space. [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 1 24. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/uart.sv Parsing SystemVerilog input from `/eda/processor-ci-controller/modules/uart.sv' to AST representation. Generating RTLIL representation for module `\UART'. Successfully finished Verilog frontend. 25. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/UART/rtl/uart_rx.v Parsing Verilog input from `/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v' to AST representation. Generating RTLIL representation for module `\uart_rx'. Successfully finished Verilog frontend. 26. Executing Verilog-2005 frontend: /eda/processor-ci-controller/modules/UART/rtl/uart_tx.v Parsing Verilog input from `/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v' to AST representation. Generating RTLIL representation for module `\uart_tx'. Successfully finished Verilog frontend. 27. Executing Verilog-2005 frontend: /eda/processor-ci-controller/rtl/fifo.sv Parsing SystemVerilog input from `/eda/processor-ci-controller/rtl/fifo.sv' to AST representation. Generating RTLIL representation for module `\FIFO'. Successfully finished Verilog frontend. 28. Executing Verilog-2005 frontend: /eda/processor-ci-controller/rtl/reset.sv Parsing SystemVerilog input from `/eda/processor-ci-controller/rtl/reset.sv' to AST representation. Generating RTLIL representation for module `\ResetBootSystem'. Successfully finished Verilog frontend. 29. Executing Verilog-2005 frontend: /eda/processor-ci-controller/rtl/clk_divider.sv Parsing SystemVerilog input from `/eda/processor-ci-controller/rtl/clk_divider.sv' to AST representation. Generating RTLIL representation for module `\ClkDivider'. Successfully finished Verilog frontend. 30. Executing Verilog-2005 frontend: /eda/processor-ci-controller/rtl/memory.sv Parsing SystemVerilog input from `/eda/processor-ci-controller/rtl/memory.sv' to AST representation. Generating RTLIL representation for module `\Memory'. Successfully finished Verilog frontend. 31. Executing Verilog-2005 frontend: /eda/processor-ci-controller/rtl/interpreter.sv Parsing SystemVerilog input from `/eda/processor-ci-controller/rtl/interpreter.sv' to AST representation. Generating RTLIL representation for module `\Interpreter'. Successfully finished Verilog frontend. 32. Executing Verilog-2005 frontend: /eda/processor-ci-controller/rtl/controller.sv Parsing SystemVerilog input from `/eda/processor-ci-controller/rtl/controller.sv' to AST representation. Generating RTLIL representation for module `\Controller'. Successfully finished Verilog frontend. 33. Executing SystemVerilog frontend. [INF:CM0023] Creating log file "/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/slpp_all/surelog.log". [INF:CP0300] Compilation... [INF:CP0301] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/grande_risco5_types.sv:3:1: Compile package "opcodes_pkg". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:1:1: Compile module "work@ALU_Control". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:1:1: Compile module "work@Alu". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:1:1: Compile module "work@Branch_Prediction". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:1:1: Compile module "work@CSR_Unit". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:1:1: Compile module "work@Cache_request_Multiplexer". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv:3:1: Compile module "work@Core". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:1:1: Compile module "work@DCache". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:3:1: Compile module "work@EXMEM". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:1:1: Compile module "work@Forwarding_Unit". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:1:1: Compile module "work@Grande_Risco5". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:1:1: Compile module "work@ICache". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:3:1: Compile module "work@IDEX". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:3:1: Compile module "work@IFID". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:3:1: Compile module "work@IR_Decompression". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:1:1: Compile module "work@Immediate_Generator". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:1:1: Compile module "work@Invalid_IR_Check". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:4:1: Compile module "work@MDU". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:3:1: Compile module "work@MEMWB". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:1:1: Compile module "work@MUX". [INF:CP0303] /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:1:1: Compile module "work@Registers". [INF:CP0303] /eda/processor_ci/rtl/Grande-Risco-5.sv:4:1: Compile module "work@processorci_top". [INF:EL0526] Design Elaboration... [NTE:EL0503] /eda/processor_ci/rtl/Grande-Risco-5.sv:4:1: Top level module "work@processorci_top". [WRN:EL0500] /eda/processor_ci/rtl/Grande-Risco-5.sv:56:1: Cannot find a module definition for "work@processorci_top::Controller". [WRN:EL0500] /eda/processor_ci/rtl/Grande-Risco-5.sv:177:1: Cannot find a module definition for "work@processorci_top::ResetBootSystem". [NTE:EL0508] Nb Top level modules: 1. [NTE:EL0509] Max instance depth: 5. [NTE:EL0510] Nb instances: 24. [NTE:EL0511] Nb leaf instances: 2. [WRN:EL0512] Nb undefined modules: 2. [WRN:EL0513] Nb undefined instances: 2. [INF:UH0706] Creating UHDM Model... [ FATAL] : 0 [ SYNTAX] : 0 [ ERROR] : 0 [WARNING] : 4 [ NOTE] : 5 Generating RTLIL representation for module `\CSR_Unit'. Generating RTLIL representation for module `\Registers'. Generating RTLIL representation for module `\EXMEM'. Generating RTLIL representation for module `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000'. Generating RTLIL representation for module `\MDU'. Generating RTLIL representation for module `\MUX'. Generating RTLIL representation for module `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core'. Generating RTLIL representation for module `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000'. Generating RTLIL representation for module `\processorci_top'. Generating RTLIL representation for module `\Forwarding_Unit'. Generating RTLIL representation for module `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID'. Generating RTLIL representation for module `\Immediate_Generator'. Generating RTLIL representation for module `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer'. Generating RTLIL representation for module `\Invalid_IR_Check'. Generating RTLIL representation for module `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5'. Generating RTLIL representation for module `\IR_Decompression'. Generating RTLIL representation for module `\IDEX'. Generating RTLIL representation for module `\ALU_Control'. Generating RTLIL representation for module `\MEMWB'. Generating RTLIL representation for module `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000'. Generating RTLIL representation for module `\Alu'. 34. Executing SYNTH_ECP5 pass. 34.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/cells_sim.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/cells_sim.v' to AST representation. Generating RTLIL representation for module `\LUT4'. Generating RTLIL representation for module `\$__ABC9_LUT5'. Generating RTLIL representation for module `\$__ABC9_LUT6'. Generating RTLIL representation for module `\$__ABC9_LUT7'. Generating RTLIL representation for module `\L6MUX21'. Generating RTLIL representation for module `\CCU2C'. Generating RTLIL representation for module `\TRELLIS_RAM16X2'. Generating RTLIL representation for module `\PFUMX'. Generating RTLIL representation for module `\TRELLIS_DPR16X4'. Generating RTLIL representation for module `\DPR16X4C'. Generating RTLIL representation for module `\LUT2'. Generating RTLIL representation for module `\TRELLIS_FF'. Generating RTLIL representation for module `\TRELLIS_IO'. Generating RTLIL representation for module `\INV'. Generating RTLIL representation for module `\TRELLIS_COMB'. Generating RTLIL representation for module `\DP16KD'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Successfully finished Verilog frontend. 34.2. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/cells_bb.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/cells_bb.v' to AST representation. Generating RTLIL representation for module `\MULT18X18D'. Generating RTLIL representation for module `\ALU54B'. Generating RTLIL representation for module `\EHXPLLL'. Generating RTLIL representation for module `\DTR'. Generating RTLIL representation for module `\OSCG'. Generating RTLIL representation for module `\USRMCLK'. Generating RTLIL representation for module `\JTAGG'. Generating RTLIL representation for module `\DELAYF'. Generating RTLIL representation for module `\DELAYG'. Generating RTLIL representation for module `\IDDRX1F'. Generating RTLIL representation for module `\IDDRX2F'. Generating RTLIL representation for module `\IDDR71B'. Generating RTLIL representation for module `\IDDRX2DQA'. Generating RTLIL representation for module `\ODDRX1F'. Generating RTLIL representation for module `\ODDRX2F'. Generating RTLIL representation for module `\ODDR71B'. Generating RTLIL representation for module `\OSHX2A'. Generating RTLIL representation for module `\ODDRX2DQA'. Generating RTLIL representation for module `\ODDRX2DQSB'. Generating RTLIL representation for module `\TSHX2DQA'. Generating RTLIL representation for module `\TSHX2DQSA'. Generating RTLIL representation for module `\DQSBUFM'. Generating RTLIL representation for module `\DDRDLLA'. Generating RTLIL representation for module `\DLLDELD'. Generating RTLIL representation for module `\CLKDIVF'. Generating RTLIL representation for module `\ECLKSYNCB'. Generating RTLIL representation for module `\ECLKBRIDGECS'. Generating RTLIL representation for module `\DCCA'. Generating RTLIL representation for module `\DCSC'. Generating RTLIL representation for module `\DCUA'. Generating RTLIL representation for module `\EXTREFB'. Generating RTLIL representation for module `\PCSCLKDIV'. Generating RTLIL representation for module `\PUR'. Generating RTLIL representation for module `\GSR'. Generating RTLIL representation for module `\SGSR'. Generating RTLIL representation for module `\PDPW16KD'. Successfully finished Verilog frontend. 34.3. Executing HIERARCHY pass (managing design hierarchy). 34.3.1. Analyzing design hierarchy.. Top module: \processorci_top Used module: \ResetBootSystem Used module: $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5 Used module: $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer Used module: $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core Used module: \CSR_Unit Used module: \Registers Used module: \MEMWB Used module: \EXMEM Used module: \IDEX Used module: \MDU Used module: \MUX Used module: \Forwarding_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID Used module: \Invalid_IR_Check Used module: $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000 Used module: \IR_Decompression Used module: \Controller Used module: \Memory Used module: \UART Used module: \uart_tx Used module: \uart_rx Used module: \FIFO Used module: \Interpreter Used module: \ClkDivider Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 34.3.2. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 34.3.3. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'. Parameter \BIT_RATE = 9600 Parameter \CLK_HZ = 25000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 34.3.4. Executing AST frontend in derive mode using pre-parsed AST for module `\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Generating RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 34.3.5. Executing AST frontend in derive mode using pre-parsed AST for module `\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 4096 Generating RTLIL representation for module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Parameter \CLK_FREQ = 100000000 Parameter \BIT_RATE = 9600 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 34.3.6. Executing AST frontend in derive mode using pre-parsed AST for module `\UART'. Parameter \CLK_FREQ = 100000000 Parameter \BIT_RATE = 9600 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 Generating RTLIL representation for module `$paramod$4f95a2915948f32f9ea8a2df64dc16f0cc4d571e\UART'. Parameter \CLK_FREQ = 100000000 Parameter \PULSE_CONTROL_BITS = 12 Parameter \BUS_WIDTH = 32 Parameter \ID = 1 Parameter \RESET_CLK_CYCLES = 20 34.3.7. Executing AST frontend in derive mode using pre-parsed AST for module `\Interpreter'. Parameter \CLK_FREQ = 100000000 Parameter \PULSE_CONTROL_BITS = 12 Parameter \BUS_WIDTH = 32 Parameter \ID = 1 Parameter \RESET_CLK_CYCLES = 20 Generating RTLIL representation for module `$paramod$87e718ac378c2c007d548c98d7d17dd6861e7cf4\Interpreter'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 12 34.3.8. Executing AST frontend in derive mode using pre-parsed AST for module `\ClkDivider'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 12 Generating RTLIL representation for module `$paramod$d236a3555e5fe48231d3a0bdd0f1031eebbe67d5\ClkDivider'. Parameter \CYCLES = 20 34.3.9. Executing AST frontend in derive mode using pre-parsed AST for module `\ResetBootSystem'. Parameter \CYCLES = 20 Generating RTLIL representation for module `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100'. Parameter \CLK_FREQ = 50000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \WORD_SIZE_BY = 4 Parameter \ID = 1095914585 Parameter \RESET_CLK_CYCLES = 20 Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 8192 34.3.10. Executing AST frontend in derive mode using pre-parsed AST for module `\Controller'. Parameter \CLK_FREQ = 50000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \WORD_SIZE_BY = 4 Parameter \ID = 1095914585 Parameter \RESET_CLK_CYCLES = 20 Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 8192 Generating RTLIL representation for module `$paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller'. 34.3.11. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5 Used module: $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer Used module: $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core Used module: \CSR_Unit Used module: \Registers Used module: \MEMWB Used module: \EXMEM Used module: \IDEX Used module: \MDU Used module: \MUX Used module: \Forwarding_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID Used module: \Invalid_IR_Check Used module: $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000 Used module: \IR_Decompression Used module: $paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller Used module: \Memory Used module: \UART Used module: $paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx Used module: $paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: \Interpreter Used module: \ClkDivider Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 8192 34.3.12. Executing AST frontend in derive mode using pre-parsed AST for module `\Memory'. Parameter \MEMORY_FILE = { } Parameter \MEMORY_SIZE = 8192 Generating RTLIL representation for module `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory'. Parameter \CLK_FREQ = 50000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 34.3.13. Executing AST frontend in derive mode using pre-parsed AST for module `\UART'. Parameter \CLK_FREQ = 50000000 Parameter \BIT_RATE = 115200 Parameter \PAYLOAD_BITS = 8 Parameter \BUFFER_SIZE = 8 Parameter \WORD_SIZE_BY = 4 Generating RTLIL representation for module `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART'. Parameter \CLK_FREQ = 50000000 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \ID = 1095914585 Parameter \RESET_CLK_CYCLES = 20 34.3.14. Executing AST frontend in derive mode using pre-parsed AST for module `\Interpreter'. Parameter \CLK_FREQ = 50000000 Parameter \PULSE_CONTROL_BITS = 32 Parameter \BUS_WIDTH = 32 Parameter \ID = 1095914585 Parameter \RESET_CLK_CYCLES = 20 Generating RTLIL representation for module `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 32 34.3.15. Executing AST frontend in derive mode using pre-parsed AST for module `\ClkDivider'. Parameter \COUNTER_BITS = 32 Parameter \PULSE_CONTROL_BITS = 32 Generating RTLIL representation for module `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider'. 34.3.16. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5 Used module: $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer Used module: $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core Used module: \CSR_Unit Used module: \Registers Used module: \MEMWB Used module: \EXMEM Used module: \IDEX Used module: \MDU Used module: \MUX Used module: \Forwarding_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID Used module: \Invalid_IR_Check Used module: $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000 Used module: \IR_Decompression Used module: $paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller Used module: $paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory Used module: $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART Used module: \uart_tx Used module: \uart_rx Used module: \FIFO Used module: $paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 50000000 Parameter \PAYLOAD_BITS = 8 34.3.17. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_tx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 50000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 50000000 Parameter \PAYLOAD_BITS = 8 34.3.18. Executing AST frontend in derive mode using pre-parsed AST for module `\uart_rx'. Parameter \BIT_RATE = 115200 Parameter \CLK_HZ = 50000000 Parameter \PAYLOAD_BITS = 8 Generating RTLIL representation for module `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. Parameter \DEPTH = 8 Parameter \WIDTH = 8 Found cached RTLIL representation for module `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO'. 34.3.19. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5 Used module: $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer Used module: $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core Used module: \CSR_Unit Used module: \Registers Used module: \MEMWB Used module: \EXMEM Used module: \IDEX Used module: \MDU Used module: \MUX Used module: \Forwarding_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID Used module: \Invalid_IR_Check Used module: $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000 Used module: \IR_Decompression Used module: $paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller Used module: $paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory Used module: $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART Used module: $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx Used module: $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: $paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider 34.3.20. Analyzing design hierarchy.. Top module: \processorci_top Used module: $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100 Used module: $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5 Used module: $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer Used module: $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000 Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core Used module: \CSR_Unit Used module: \Registers Used module: \MEMWB Used module: \EXMEM Used module: \IDEX Used module: \MDU Used module: \MUX Used module: \Forwarding_Unit Used module: \Immediate_Generator Used module: \Alu Used module: \ALU_Control Used module: $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID Used module: \Invalid_IR_Check Used module: $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000 Used module: \IR_Decompression Used module: $paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller Used module: $paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory Used module: $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART Used module: $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx Used module: $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx Used module: $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO Used module: $paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter Used module: $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider Removing unused module `$paramod$d236a3555e5fe48231d3a0bdd0f1031eebbe67d5\ClkDivider'. Removing unused module `$paramod$87e718ac378c2c007d548c98d7d17dd6861e7cf4\Interpreter'. Removing unused module `$paramod$4f95a2915948f32f9ea8a2df64dc16f0cc4d571e\UART'. Removing unused module `$paramod$860abc9b363840d7b46a2d1e00ea80d20a30d2ee\Memory'. Removing unused module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_rx'. Removing unused module `$paramod$47e501d01bc2413462576fdae8a5a8e558677547\uart_tx'. Removing unused module `\Controller'. Removing unused module `\Interpreter'. Removing unused module `\Memory'. Removing unused module `\ClkDivider'. Removing unused module `\ResetBootSystem'. Removing unused module `\FIFO'. Removing unused module `\uart_tx'. Removing unused module `\uart_rx'. Removing unused module `\UART'. Removed 15 unused modules. 34.4. Executing PROC pass (convert processes to netlists). 34.4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$995'. Found and cleaned up 1 empty switch in `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:0$1352'. Removing empty process `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:0$1352'. Cleaned up 2 empty switches. 34.4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/usr/local/share/synlig/ecp5/cells_sim.v:350$1102 in module TRELLIS_FF. Marked 1 switch rules as full_case in process $proc$/usr/local/share/synlig/ecp5/cells_sim.v:285$1054 in module DPR16X4C. Marked 1 switch rules as full_case in process $proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$996 in module TRELLIS_DPR16X4. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:28$858 in module Alu. Marked 9 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726 in module $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688 in module MEMWB. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:73$684 in module MEMWB. Removed 1 dead cases from process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:25$679 in module ALU_Control. Marked 3 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:25$679 in module ALU_Control. Marked 3 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649 in module IDEX. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:136$639 in module IDEX. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:119$638 in module IDEX. Removed 6 dead cases from process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:25$625 in module IR_Decompression. Marked 17 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:25$625 in module IR_Decompression. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:156$617 in module $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5. Marked 5 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:15$596 in module Invalid_IR_Check. Marked 3 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587 in module $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:20$586 in module Immediate_Generator. Marked 11 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549 in module $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:214$542 in module $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID. Marked 6 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:13$529 in module Forwarding_Unit. Marked 2 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478 in module $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000. Removed 1 dead cases from process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:10$459 in module MUX. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:10$459 in module MUX. Marked 4 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451 in module MDU. Marked 5 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415 in module MDU. Marked 3 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347 in module $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000. Removed 2 dead cases from process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276 in module EXMEM. Marked 16 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276 in module EXMEM. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262 in module Registers. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:87$244 in module CSR_Unit. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240 in module CSR_Unit. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:136$236 in module CSR_Unit. Marked 1 switch rules as full_case in process $proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:99$235 in module CSR_Unit. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/controller.sv:158$1333 in module $paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller. Marked 5 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/reset.sv:28$1305 in module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1508 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1506 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1498 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1495 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1489 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1484 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1479 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1470 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1457 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1455 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1447 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Marked 4 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1433 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1427 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1422 in module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/clk_divider.sv:48$1412 in module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/clk_divider.sv:27$1402 in module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Marked 15 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373 in module $paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter. Marked 2 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206 in module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/fifo.sv:28$1200 in module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Removed 1 dead cases from process $proc$/eda/processor-ci-controller/modules/uart.sv:192$1368 in module $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.sv:192$1368 in module $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.sv:169$1363 in module $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.sv:114$1358 in module $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART. Marked 3 switch rules as full_case in process $proc$/eda/processor-ci-controller/modules/uart.sv:56$1353 in module $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART. Marked 1 switch rules as full_case in process $proc$/eda/processor-ci-controller/rtl/memory.sv:35$1343 in module $paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory. Removed a total of 11 dead cases. 34.4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 9 redundant assignments. Promoted 134 assignments to connections. 34.4.4. Executing PROC_INIT pass (extract init attributes). Found init rule in `\TRELLIS_FF.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1103'. Set init value: \Q = 1'0 Found init rule in `\processorci_top.$proc$/eda/processor_ci/rtl/Grande-Risco-5.sv:145$528'. Set init value: \clk_o = 1'0 Found init rule in `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:0$1311'. Set init value: \rst_o = 1'0 Set init value: \counter = 6'000000 Set init value: \state = 2'01 Found init rule in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1510'. Set init value: \i = 0 Found init rule in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1463'. Set init value: \i = 0 34.4.5. Executing PROC_ARST pass (detect async resets in processes). Found async reset \rst_n in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:48$1412'. Found async reset \rst_n in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:27$1402'. 34.4.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 34.4.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\TRELLIS_FF.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1103'. Creating decoders for process `\TRELLIS_FF.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:350$1102'. 1/1: $0\Q[0:0] Creating decoders for process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. Creating decoders for process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:285$1054'. 1/3: $1$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:287$1053_EN[3:0]$1060 2/3: $1$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:287$1053_DATA[3:0]$1059 3/3: $1$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:287$1053_ADDR[3:0]$1058 Creating decoders for process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. Creating decoders for process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$996'. 1/3: $1$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$994_EN[3:0]$1002 2/3: $1$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$994_DATA[3:0]$1001 3/3: $1$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$994_ADDR[3:0]$1000 Creating decoders for process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$995'. Creating decoders for process `\Alu.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:28$858'. 1/1: $1\ALU_RD_o[31:0] Creating decoders for process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. 1/56: $9$lookahead\prediction$725[255:0]$842 2/56: $5$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$716[31:0]$841 3/56: $8$lookahead\prediction$725[255:0]$837 4/56: $4$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$716[31:0]$833 5/56: $4$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$836 6/56: $4$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_DATA[31:0]$835 7/56: $4$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_ADDR[6:0]$834 8/56: $7$lookahead\prediction$725[255:0]$821 9/56: $4$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:71$715[31:0]$820 10/56: $6$lookahead\prediction$725[255:0]$816 11/56: $3$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:71$715[31:0]$808 12/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$812 13/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_DATA[31:0]$811 14/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_ADDR[6:0]$810 15/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$815 16/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_DATA[31:0]$814 17/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_ADDR[6:0]$813 18/56: $3$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$716[31:0]$809 19/56: $5$lookahead\prediction$725[255:0]$796 20/56: $4$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$714[31:0]$795 21/56: $4$lookahead\prediction$725[255:0]$780 22/56: $4$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$713[31:0]$779 23/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$774 24/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_DATA[31:0]$773 25/56: $3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_ADDR[6:0]$772 26/56: $3$lookahead\prediction$725[255:0]$775 27/56: $3$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$713[31:0]$770 28/56: $3$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$714[31:0]$771 29/56: $2$lookahead\prediction$725[255:0]$769 30/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$762 31/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_DATA[31:0]$761 32/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_ADDR[6:0]$760 33/56: $2$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$714[31:0]$757 34/56: $2$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$713[31:0]$756 35/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$768 36/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_DATA[31:0]$767 37/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_ADDR[6:0]$766 38/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$765 39/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_DATA[31:0]$764 40/56: $2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_ADDR[6:0]$763 41/56: $2$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$716[31:0]$759 42/56: $2$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:71$715[31:0]$758 43/56: $1$lookahead\prediction$725[255:0]$755 44/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$754 45/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_DATA[31:0]$753 46/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_ADDR[6:0]$752 47/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$751 48/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_DATA[31:0]$750 49/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_ADDR[6:0]$749 50/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$748 51/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_DATA[31:0]$747 52/56: $1$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_ADDR[6:0]$746 53/56: $1$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$716[31:0]$745 54/56: $1$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:71$715[31:0]$744 55/56: $1$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$714[31:0]$743 56/56: $1$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$713[31:0]$742 Creating decoders for process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. 1/7: $0\MEMWB_IR[31:0] 2/7: $0\instruction_finished_o[0:0] 3/7: $0\mem_to_reg[0:0] 4/7: $0\reg_wr_en_o[0:0] 5/7: $0\MEMWBALUOut[31:0] 6/7: $0\MEMWB_mem_read_data[31:0] 7/7: $0\MEMWB_PC_o[31:0] Creating decoders for process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:73$684'. 1/1: $1\read_data_normalized[31:0] Creating decoders for process `\ALU_Control.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:25$679'. 1/3: $3\ALU_OP_o[3:0] 2/3: $2\ALU_OP_o[3:0] 3/3: $1\ALU_OP_o[3:0] Creating decoders for process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. 1/8: $0\previous_instruction_is_lw[0:0] 2/8: $0\mdu_start[0:0] 3/8: $0\IDEXB[31:0] 4/8: $0\IDEXA[31:0] 5/8: $0\alu_op_o[3:0] 6/8: $0\mdu_operation_o[0:0] 7/8: $0\IDEXPC_o[31:0] 8/8: $0\IDEXIR_o[31:0] Creating decoders for process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:136$639'. 1/2: $1\alu_input_b[31:0] 2/2: $1\alu_input_a[31:0] Creating decoders for process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:119$638'. 1/2: $1\is_immediate_o[0:0] 2/2: $1\aluop[1:0] Creating decoders for process `\IR_Decompression.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:25$625'. 1/25: $11\instr_d_o[31:0] 2/25: $10\instr_d_o[31:0] 3/25: $14\instr_illegal_o[0:0] 4/25: $9\instr_d_o[31:0] 5/25: $13\instr_illegal_o[0:0] 6/25: $12\instr_illegal_o[0:0] 7/25: $8\instr_d_o[31:0] 8/25: $11\instr_illegal_o[0:0] 9/25: $10\instr_illegal_o[0:0] 10/25: $9\instr_illegal_o[0:0] 11/25: $7\instr_d_o[31:0] 12/25: $6\instr_d_o[31:0] 13/25: $8\instr_illegal_o[0:0] 14/25: $7\instr_illegal_o[0:0] 15/25: $6\instr_illegal_o[0:0] 16/25: $5\instr_d_o[31:0] 17/25: $5\instr_illegal_o[0:0] 18/25: $4\instr_d_o[31:0] 19/25: $3\instr_d_o[31:0] 20/25: $4\instr_illegal_o[0:0] 21/25: $3\instr_illegal_o[0:0] 22/25: $2\instr_illegal_o[0:0] 23/25: $2\instr_d_o[31:0] 24/25: $1\instr_illegal_o[0:0] 25/25: $1\instr_d_o[31:0] Creating decoders for process `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:156$617'. 1/2: $0\peripheral_wr_through[0:0] 2/2: $0\peripheral_access_lock[0:0] Creating decoders for process `\Invalid_IR_Check.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:15$596'. 1/5: $5\invalid_instruction_o[0:0] 2/5: $4\invalid_instruction_o[0:0] 3/5: $3\invalid_instruction_o[0:0] 4/5: $2\invalid_instruction_o[0:0] 5/5: $1\invalid_instruction_o[0:0] Creating decoders for process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. 1/10: $0\d_cache_response[0:0] 2/10: $0\i_cache_response[0:0] 3/10: $0\d_cache_read_data[31:0] 4/10: $0\i_cache_read_data[31:0] 5/10: $0\access_pedding[0:0] 6/10: $0\response_out[0:0] 7/10: $0\requested_memory_addr[31:0] 8/10: $0\write_request[0:0] 9/10: $0\read_request[0:0] 10/10: $0\write_data[31:0] Creating decoders for process `\Immediate_Generator.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:20$586'. 1/2: $2\imm_o[31:0] 2/2: $1\imm_o[31:0] Creating decoders for process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. 1/11: $0\IFID_is_compressed_instruction_o[0:0] 2/11: $0\jump_is_predicted[0:0] 3/11: $0\flush_bus_o[0:0] 4/11: $0\instruction_request_o[0:0] 5/11: $0\temp_pc[31:0] 6/11: $0\temp_instruction[31:0] 7/11: $0\finish_unaligned_pc[0:0] 8/11: $0\pc_is_unaligned[0:0] 9/11: $0\PC[31:0] 10/11: $0\IFID_IR_o[31:0] 11/11: $0\IFID_PC_o[31:0] Creating decoders for process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:214$542'. 1/1: $1\is_jump[0:0] Creating decoders for process `\Forwarding_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:13$529'. 1/6: $3\fwd_rs2_o[1:0] 2/6: $2\fwd_rs2_o[1:0] 3/6: $1\fwd_rs2_o[1:0] 4/6: $3\fwd_rs1_o[1:0] 5/6: $2\fwd_rs1_o[1:0] 6/6: $1\fwd_rs1_o[1:0] Creating decoders for process `\processorci_top.$proc$/eda/processor_ci/rtl/Grande-Risco-5.sv:145$528'. Creating decoders for process `\processorci_top.$proc$/eda/processor_ci/rtl/Grande-Risco-5.sv:168$525'. Creating decoders for process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. 1/19: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$515 2/19: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_DATA[31:0]$514 3/19: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_ADDR[5:0]$513 4/19: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$512 5/19: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_DATA[23:0]$511 6/19: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_ADDR[5:0]$510 7/19: $2$lookahead\cache_valid$477[63:0]$516 8/19: $2$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:63$466[31:0]$509 9/19: $1$lookahead\cache_valid$477[63:0]$495 10/19: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$494 11/19: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_DATA[31:0]$493 12/19: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_ADDR[5:0]$492 13/19: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$491 14/19: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_DATA[23:0]$490 15/19: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_ADDR[5:0]$489 16/19: $1$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:63$466[31:0]$488 17/19: $0\miss_finished[0:0] 18/19: $0\clear_response[0:0] 19/19: $0\request_to_memory[0:0] Creating decoders for process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv:291$461'. Creating decoders for process `\MUX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:10$459'. 1/1: $1\S_o[31:0] Creating decoders for process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. 1/6: $0\state_mul[1:0] 2/6: $0\mul_ready_o[0:0] 3/6: $0\acumulador[63:0] 4/6: $0\Data_Y[31:0] 5/6: $0\Data_X[31:0] 6/6: $0\MUL_RD[31:0] Creating decoders for process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. 1/8: $0\state_div[1:0] 2/8: $0\div_ready_o[0:0] 3/8: $0\divisor[62:0] 4/8: $0\DIV_RD[31:0] 5/8: $0\quociente_msk[31:0] 6/8: $0\quociente[31:0] 7/8: $0\dividendo[31:0] 8/8: $0\negativo[0:0] Creating decoders for process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. 1/33: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$404 2/33: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_DATA[31:0]$403 3/33: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_ADDR[5:0]$402 4/33: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$401 5/33: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_DATA[23:0]$400 6/33: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_ADDR[5:0]$399 7/33: $3$lookahead\cache_valid$346[63:0]$405 8/33: $2$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:59$332[31:0]$398 9/33: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$388 10/33: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_DATA[31:0]$387 11/33: $2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_ADDR[5:0]$386 12/33: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$385 13/33: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_DATA[23:0]$384 14/33: $2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_ADDR[5:0]$383 15/33: $2$lookahead\cache_valid$346[63:0]$389 16/33: $2$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:53$331[31:0]$382 17/33: $1$lookahead\cache_valid$346[63:0]$378 18/33: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$377 19/33: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_DATA[31:0]$376 20/33: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_ADDR[5:0]$375 21/33: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$374 22/33: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_DATA[23:0]$373 23/33: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_ADDR[5:0]$372 24/33: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$371 25/33: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_DATA[31:0]$370 26/33: $1$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_ADDR[5:0]$369 27/33: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$368 28/33: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_DATA[23:0]$367 29/33: $1$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_ADDR[5:0]$366 30/33: $1$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:59$332[31:0]$365 31/33: $1$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:53$331[31:0]$364 32/33: $0\miss_finished[0:0] 33/33: $0\write_through[0:0] Creating decoders for process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. 1/17: $0\unaligned_access_o[0:0] 2/17: $0\memory_read[0:0] 3/17: $0\memory_write[0:0] 4/17: $0\memory_operation_o[0:0] 5/17: $0\Merged_Word_o[31:0] 6/17: $0\IMMEDIATE_REG_o[31:0] 7/17: $0\EXMEMPC_o[31:0] 8/17: $0\EXMEMIR_o[31:0] 9/17: $0\EXMEMALUOut_o[31:0] 10/17: $0\Data_Address[31:0] 11/17: $0\Second_Word[31:0] 12/17: $0\First_Word[31:0] 13/17: $0\unaligned_access_state[3:0] 14/17: $0\subword[0:0] 15/17: $0\unaligned_access_in_progress[0:0] 16/17: $0\EXMEM_mem_data_value[31:0] 17/17: $0\subword_store[0:0] Creating decoders for process `\Registers.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262'. 1/3: $1$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$269 2/3: $1$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_DATA[31:0]$268 3/3: $1$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_ADDR[4:0]$267 Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:87$244'. 1/1: $1\csr_write_data[31:0] Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. 1/8: $0\MEPC_reg[31:0] 2/8: $0\MSTATUS_reg[31:0] 3/8: $0\MCAUSE_reg[31:0] 4/8: $0\MSCRATCH_reg[31:0] 5/8: $0\MTVEC_reg[31:0] 6/8: $0\MIE_reg[31:0] 7/8: $0\MIP_reg[31:0] 8/8: $0\MTVAL_reg[31:0] Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:136$236'. 1/2: $0\MCYCLE_reg[63:0] 2/2: $0\MINSTRET_reg[63:0] Creating decoders for process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:99$235'. 1/1: $1\csr_read_data[31:0] Creating decoders for process `$paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller.$proc$/eda/processor-ci-controller/rtl/controller.sv:158$1333'. 1/1: $0\finish_execution[0:0] Creating decoders for process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:0$1311'. Creating decoders for process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:28$1305'. 1/3: $0\state[1:0] 2/3: $0\counter[5:0] 3/3: $0\rst_o[0:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1510'. Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1508'. 1/2: $0\rxd_reg_0[0:0] 2/2: $0\rxd_reg[0:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1506'. 1/1: $0\fsm_state[2:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1498'. 1/1: $0\cycle_counter[9:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1495'. 1/1: $0\bit_sample[0:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1489'. 1/1: $0\bit_counter[3:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1484'. 1/11: $3\i[31:0] 2/11: $0\recieved_data[7:0] [1] 3/11: $0\recieved_data[7:0] [0] 4/11: $0\recieved_data[7:0] [2] 5/11: $0\recieved_data[7:0] [3] 6/11: $0\recieved_data[7:0] [4] 7/11: $0\recieved_data[7:0] [5] 8/11: $0\recieved_data[7:0] [6] 9/11: $0\recieved_data[7:0] [7] 10/11: $1\i[31:0] 11/11: $2\i[31:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1479'. 1/1: $1\n_fsm_state[2:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1470'. 1/1: $0\uart_rx_data[7:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1463'. Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1457'. 1/1: $0\txd_reg[0:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1455'. 1/1: $0\fsm_state[2:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1447'. 1/1: $0\cycle_counter[9:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1433'. 1/1: $0\bit_counter[3:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1427'. 1/11: $3\i[31:0] 2/11: $0\data_to_send[7:0] [1] 3/11: $0\data_to_send[7:0] [0] 4/11: $0\data_to_send[7:0] [2] 5/11: $0\data_to_send[7:0] [3] 6/11: $0\data_to_send[7:0] [4] 7/11: $0\data_to_send[7:0] [5] 8/11: $0\data_to_send[7:0] [6] 9/11: $0\data_to_send[7:0] [7] 10/11: $1\i[31:0] 11/11: $2\i[31:0] Creating decoders for process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1422'. 1/1: $1\n_fsm_state[2:0] Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:48$1412'. 1/1: $0\pulse_counter[31:0] Creating decoders for process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:27$1402'. 1/2: $0\clk_counter[31:0] 2/2: $0\clk_o_auto[0:0] Creating decoders for process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. 1/28: $0\state[7:0] 2/28: $0\reset_bus[0:0] 3/28: $0\memory_write[0:0] 4/28: $0\memory_read[0:0] 5/28: $0\write_pulse[0:0] 6/28: $0\core_reset[0:0] 7/28: $0\communication_write[0:0] 8/28: $0\communication_read[0:0] 9/28: $0\return_state[7:0] 10/28: $0\temp_buffer[63:0] 11/28: $0\accumulator[63:0] 12/28: $0\timeout_counter[31:0] 13/28: $0\timeout[31:0] 14/28: $0\read_buffer[31:0] 15/28: $0\communication_buffer[31:0] 16/28: $0\num_of_positions[23:0] 17/28: $0\num_of_pages[23:0] 18/28: $0\address[31:0] 19/28: $0\memory_page_number[23:0] 20/28: $0\memory_mux_selector[0:0] 21/28: $0\end_position[31:0] 22/28: $0\memory_page_size[23:0] 23/28: $0\bus_mode[0:0] 24/28: $0\num_of_cycles_to_pulse[31:0] 25/28: $0\core_clk_enable[0:0] 26/28: $0\communication_write_data[31:0] 27/28: $0\counter[7:0] 28/28: $0\write_data[31:0] Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206'. 1/7: $2$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1218 2/7: $2$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_DATA[7:0]$1217 3/7: $2$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_ADDR[2:0]$1216 4/7: $1$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1213 5/7: $1$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_DATA[7:0]$1212 6/7: $1$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_ADDR[2:0]$1211 7/7: $0\write_ptr[3:0] Creating decoders for process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:28$1200'. 1/2: $0\read_ptr[3:0] 2/2: $0\read_data_o[7:0] Creating decoders for process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:192$1368'. 1/4: $0\tx_fifo_read[0:0] 2/4: $0\uart_tx_en[0:0] 3/4: $0\tx_read_fifo_state[1:0] 4/4: $0\uart_tx_data[7:0] Creating decoders for process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:169$1363'. 1/2: $0\rx_fifo_write[0:0] 2/2: $0\rx_fifo_data_in[7:0] Creating decoders for process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. 1/6: $0\tx_fifo_write[0:0] 2/6: $0\write_response[0:0] 3/6: $0\state_write[3:0] 4/6: $0\counter_write[2:0] 5/6: $0\write_data_buffer[31:0] 6/6: $0\tx_fifo_data_in[7:0] Creating decoders for process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. 1/5: $0\read_response[0:0] 2/5: $0\rx_fifo_read[0:0] 3/5: $0\state_read[3:0] 4/5: $0\counter_read[2:0] 5/5: $0\read_data[31:0] Creating decoders for process `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:35$1343'. 1/3: $1$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1351 2/3: $1$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_DATA[31:0]$1350 3/3: $1$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_ADDR[10:0]$1349 34.4.8. Executing PROC_DLATCH pass (convert process syncs to latches). No latch inferred for signal `\Alu.\ALU_RD_o' from process `\Alu.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:28$858'. No latch inferred for signal `\MEMWB.\read_data_normalized' from process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:73$684'. No latch inferred for signal `\ALU_Control.\ALU_OP_o' from process `\ALU_Control.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:25$679'. No latch inferred for signal `\IDEX.\alu_input_a' from process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:136$639'. No latch inferred for signal `\IDEX.\alu_input_b' from process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:136$639'. No latch inferred for signal `\IDEX.\is_immediate_o' from process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:119$638'. No latch inferred for signal `\IDEX.\aluop' from process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:119$638'. No latch inferred for signal `\IR_Decompression.\instr_d_o' from process `\IR_Decompression.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:25$625'. No latch inferred for signal `\IR_Decompression.\instr_illegal_o' from process `\IR_Decompression.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:25$625'. No latch inferred for signal `\Invalid_IR_Check.\invalid_instruction_o' from process `\Invalid_IR_Check.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:15$596'. No latch inferred for signal `\Immediate_Generator.\imm_o' from process `\Immediate_Generator.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:20$586'. No latch inferred for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\is_jump' from process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:214$542'. No latch inferred for signal `\Forwarding_Unit.\fwd_rs1_o' from process `\Forwarding_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:13$529'. No latch inferred for signal `\Forwarding_Unit.\fwd_rs2_o' from process `\Forwarding_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:13$529'. No latch inferred for signal `\MUX.\S_o' from process `\MUX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:10$459'. No latch inferred for signal `\CSR_Unit.\csr_write_data' from process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:87$244'. No latch inferred for signal `\CSR_Unit.\csr_read_data' from process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:99$235'. No latch inferred for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\n_fsm_state' from process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1479'. No latch inferred for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.\n_fsm_state' from process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1422'. 34.4.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\TRELLIS_FF.\Q' using process `\TRELLIS_FF.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:350$1102'. created $dff cell `$procdff$5133' with positive edge clock. Creating register for signal `\DPR16X4C.\i' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1038_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1039_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1040_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1041_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1042_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1043_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1044_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1045_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1046_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1047_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1048_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1049_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1050_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1051_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:281$1052_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. created direct connection (no actual register cell created). Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:287$1053_ADDR' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:285$1054'. created $dff cell `$procdff$5134' with positive edge clock. Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:287$1053_DATA' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:285$1054'. created $dff cell `$procdff$5135' with positive edge clock. Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/share/synlig/ecp5/cells_sim.v:287$1053_EN' using process `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:285$1054'. created $dff cell `$procdff$5136' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.\i' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$978_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$979_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$980_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$981_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$982_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$983_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$984_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$985_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$986_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$987_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$988_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$989_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$990_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$991_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$992_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$993_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. created direct connection (no actual register cell created). Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$994_ADDR' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$996'. created $dff cell `$procdff$5137' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$994_DATA' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$996'. created $dff cell `$procdff$5138' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$994_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$996'. created $dff cell `$procdff$5139' with positive edge clock. Creating register for signal `\TRELLIS_DPR16X4.\muxwre' using process `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$995'. created direct connection (no actual register cell created). Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.\prediction' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5140' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$713' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5141' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$714' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5142' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:71$715' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5143' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$716' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5144' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_ADDR' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5145' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_DATA' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5146' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5147' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_ADDR' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5148' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_DATA' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5149' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5150' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_ADDR' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5151' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_DATA' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5152' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5153' with positive edge clock. Creating register for signal `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$lookahead\prediction$725' using process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. created $dff cell `$procdff$5154' with positive edge clock. Creating register for signal `\MEMWB.\instruction_finished_o' using process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. created $dff cell `$procdff$5155' with positive edge clock. Creating register for signal `\MEMWB.\reg_wr_en_o' using process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. created $dff cell `$procdff$5156' with positive edge clock. Creating register for signal `\MEMWB.\MEMWB_PC_o' using process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. created $dff cell `$procdff$5157' with positive edge clock. Creating register for signal `\MEMWB.\mem_to_reg' using process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. created $dff cell `$procdff$5158' with positive edge clock. Creating register for signal `\MEMWB.\MEMWB_mem_read_data' using process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. created $dff cell `$procdff$5159' with positive edge clock. Creating register for signal `\MEMWB.\MEMWBALUOut' using process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. created $dff cell `$procdff$5160' with positive edge clock. Creating register for signal `\MEMWB.\MEMWB_IR' using process `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. created $dff cell `$procdff$5161' with positive edge clock. Creating register for signal `\IDEX.\take_jalr_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5162' with positive edge clock. Creating register for signal `\IDEX.\is_branch_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5163' with positive edge clock. Creating register for signal `\IDEX.\is_jalr_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5164' with positive edge clock. Creating register for signal `\IDEX.\IDEX_is_compressed_instruction_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5165' with positive edge clock. Creating register for signal `\IDEX.\BRANCH_ADDRESS_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5166' with positive edge clock. Creating register for signal `\IDEX.\NON_BRANCH_ADDRESS_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5167' with positive edge clock. Creating register for signal `\IDEX.\IDEXIR_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5168' with positive edge clock. Creating register for signal `\IDEX.\IDEXPC_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5169' with positive edge clock. Creating register for signal `\IDEX.\mdu_operation_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5170' with positive edge clock. Creating register for signal `\IDEX.\mdu_start' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5171' with positive edge clock. Creating register for signal `\IDEX.\is_immediate_reg_not' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5172' with positive edge clock. Creating register for signal `\IDEX.\alu_op_o' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5173' with positive edge clock. Creating register for signal `\IDEX.\previous_instruction_is_lw' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5174' with positive edge clock. Creating register for signal `\IDEX.\IDEXA' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5175' with positive edge clock. Creating register for signal `\IDEX.\IDEXB' using process `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. created $dff cell `$procdff$5176' with positive edge clock. Creating register for signal `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5.\peripheral_access_lock' using process `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:156$617'. created $dff cell `$procdff$5177' with positive edge clock. Creating register for signal `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5.\peripheral_wr_through' using process `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:156$617'. created $dff cell `$procdff$5178' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\write_data' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5179' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\read_request' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5180' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\write_request' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5181' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\requested_memory_addr' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5182' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\response_out' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5183' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\access_pedding' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5184' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\i_cache_read_data' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5185' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\d_cache_read_data' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5186' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\i_cache_response' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5187' with positive edge clock. Creating register for signal `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.\d_cache_response' using process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. created $dff cell `$procdff$5188' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\take_jal_o' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5189' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\is_jal_o' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5190' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\IFID_is_compressed_instruction_o' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5191' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\IFID_PC_o' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5192' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\IFID_IR_o' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5193' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\flush_bus_o' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5194' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\instruction_request_o' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5195' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\PC' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5196' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\jump_is_predicted' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5197' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\is_different_branch_address' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5198' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\pc_is_unaligned' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5199' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\finish_unaligned_pc' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5200' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\is_different_no_branch_address' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5201' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\temp_instruction' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5202' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\temp_pc' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5203' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\JAL_PC' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5204' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.\JALR_PC' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. created $dff cell `$procdff$5205' with positive edge clock. Creating register for signal `\processorci_top.\clk_o' using process `\processorci_top.$proc$/eda/processor_ci/rtl/Grande-Risco-5.sv:168$525'. created $dff cell `$procdff$5206' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.\cache_valid' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5207' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.\miss_finished' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5208' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.\request_to_memory' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5209' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.\clear_response' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5210' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:63$466' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5211' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_ADDR' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5212' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_DATA' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5213' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5214' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_ADDR' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5215' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_DATA' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5216' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5217' with positive edge clock. Creating register for signal `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$lookahead\cache_valid$477' using process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. created $dff cell `$procdff$5218' with positive edge clock. Creating register for signal `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core.\software_interruption' using process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv:291$461'. created $dff cell `$procdff$5219' with positive edge clock. Creating register for signal `\MDU.\mul_ready_o' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. created $dff cell `$procdff$5220' with positive edge clock. Creating register for signal `\MDU.\MUL_RD' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. created $dff cell `$procdff$5221' with positive edge clock. Creating register for signal `\MDU.\state_mul' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. created $dff cell `$procdff$5222' with positive edge clock. Creating register for signal `\MDU.\Data_X' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. created $dff cell `$procdff$5223' with positive edge clock. Creating register for signal `\MDU.\Data_Y' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. created $dff cell `$procdff$5224' with positive edge clock. Creating register for signal `\MDU.\acumulador' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. created $dff cell `$procdff$5225' with positive edge clock. Creating register for signal `\MDU.\state_div' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5226' with positive edge clock. Creating register for signal `\MDU.\negativo' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5227' with positive edge clock. Creating register for signal `\MDU.\div_ready_o' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5228' with positive edge clock. Creating register for signal `\MDU.\dividendo' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5229' with positive edge clock. Creating register for signal `\MDU.\quociente' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5230' with positive edge clock. Creating register for signal `\MDU.\quociente_msk' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5231' with positive edge clock. Creating register for signal `\MDU.\DIV_RD' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5232' with positive edge clock. Creating register for signal `\MDU.\divisor' using process `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. created $dff cell `$procdff$5233' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.\cache_valid' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5234' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.\miss_finished' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5235' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.\write_through' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5236' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:53$331' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5237' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$bitselwrite$pos$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:59$332' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5238' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_ADDR' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5239' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_DATA' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5240' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5241' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_ADDR' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5242' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_DATA' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5243' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5244' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_ADDR' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5245' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_DATA' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5246' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5247' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_ADDR' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5248' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_DATA' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5249' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5250' with positive edge clock. Creating register for signal `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$lookahead\cache_valid$346' using process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. created $dff cell `$procdff$5251' with positive edge clock. Creating register for signal `\EXMEM.\memory_read' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5252' with positive edge clock. Creating register for signal `\EXMEM.\memory_write' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5253' with positive edge clock. Creating register for signal `\EXMEM.\subword_store' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5254' with positive edge clock. Creating register for signal `\EXMEM.\EXMEM_mem_data_value' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5255' with positive edge clock. Creating register for signal `\EXMEM.\unaligned_access_in_progress' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5256' with positive edge clock. Creating register for signal `\EXMEM.\subword' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5257' with positive edge clock. Creating register for signal `\EXMEM.\unaligned_access_state' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5258' with positive edge clock. Creating register for signal `\EXMEM.\First_Word' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5259' with positive edge clock. Creating register for signal `\EXMEM.\Second_Word' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5260' with positive edge clock. Creating register for signal `\EXMEM.\Data_Address' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5261' with positive edge clock. Creating register for signal `\EXMEM.\EXMEMALUOut_o' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5262' with positive edge clock. Creating register for signal `\EXMEM.\EXMEMIR_o' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5263' with positive edge clock. Creating register for signal `\EXMEM.\EXMEMPC_o' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5264' with positive edge clock. Creating register for signal `\EXMEM.\IMMEDIATE_REG_o' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5265' with positive edge clock. Creating register for signal `\EXMEM.\Merged_Word_o' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5266' with positive edge clock. Creating register for signal `\EXMEM.\memory_operation_o' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5267' with positive edge clock. Creating register for signal `\EXMEM.\unaligned_access_o' using process `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. created $dff cell `$procdff$5268' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_ADDR' using process `\Registers.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262'. created $dff cell `$procdff$5269' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_DATA' using process `\Registers.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262'. created $dff cell `$procdff$5270' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN' using process `\Registers.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262'. created $dff cell `$procdff$5271' with positive edge clock. Creating register for signal `\Registers.$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:28$249_EN' using process `\Registers.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262'. created $dff cell `$procdff$5272' with positive edge clock. Creating register for signal `\CSR_Unit.\MTVAL_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5273' with positive edge clock. Creating register for signal `\CSR_Unit.\MIP_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5274' with positive edge clock. Creating register for signal `\CSR_Unit.\MIE_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5275' with positive edge clock. Creating register for signal `\CSR_Unit.\MTVEC_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5276' with positive edge clock. Creating register for signal `\CSR_Unit.\MSCRATCH_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5277' with positive edge clock. Creating register for signal `\CSR_Unit.\MCAUSE_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5278' with positive edge clock. Creating register for signal `\CSR_Unit.\MSTATUS_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5279' with positive edge clock. Creating register for signal `\CSR_Unit.\MEPC_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. created $dff cell `$procdff$5280' with positive edge clock. Creating register for signal `\CSR_Unit.\MCYCLE_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:136$236'. created $dff cell `$procdff$5281' with positive edge clock. Creating register for signal `\CSR_Unit.\MINSTRET_reg' using process `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:136$236'. created $dff cell `$procdff$5282' with positive edge clock. Creating register for signal `$paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller.\finish_execution' using process `$paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller.$proc$/eda/processor-ci-controller/rtl/controller.sv:158$1333'. created $dff cell `$procdff$5283' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\rst_o' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:28$1305'. created $dff cell `$procdff$5284' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\counter' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:28$1305'. created $dff cell `$procdff$5285' with positive edge clock. Creating register for signal `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.\state' using process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:28$1305'. created $dff cell `$procdff$5286' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\rxd_reg' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1508'. created $dff cell `$procdff$5287' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\rxd_reg_0' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1508'. created $dff cell `$procdff$5288' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\fsm_state' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1506'. created $dff cell `$procdff$5289' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\cycle_counter' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1498'. created $dff cell `$procdff$5290' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\bit_sample' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1495'. created $dff cell `$procdff$5291' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\bit_counter' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1489'. created $dff cell `$procdff$5292' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\recieved_data' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1484'. created $dff cell `$procdff$5293' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\i' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1484'. created $dff cell `$procdff$5294' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.\uart_rx_data' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1470'. created $dff cell `$procdff$5295' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.\txd_reg' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1457'. created $dff cell `$procdff$5296' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.\fsm_state' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1455'. created $dff cell `$procdff$5297' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.\cycle_counter' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1447'. created $dff cell `$procdff$5298' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.\bit_counter' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1433'. created $dff cell `$procdff$5299' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.\i' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1427'. created $dff cell `$procdff$5300' with positive edge clock. Creating register for signal `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.\data_to_send' using process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1427'. created $dff cell `$procdff$5301' with positive edge clock. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\pulse_counter' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:48$1412'. created $adff cell `$procdff$5306' with positive edge clock and positive level reset. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\clk_o_auto' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:27$1402'. created $adff cell `$procdff$5311' with positive edge clock and positive level reset. Creating register for signal `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.\clk_counter' using process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:27$1402'. created $adff cell `$procdff$5316' with positive edge clock and positive level reset. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\write_data' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5317' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\counter' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5318' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\state' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5319' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\write_pulse' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5320' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\communication_read' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5321' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\communication_write' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5322' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\communication_write_data' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5323' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\core_clk_enable' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5324' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\core_reset' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5325' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\num_of_cycles_to_pulse' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5326' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\reset_bus' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5327' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\bus_mode' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5328' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\memory_page_size' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5329' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\end_position' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5330' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\memory_read' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5331' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\memory_write' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5332' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\memory_mux_selector' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5333' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\memory_page_number' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5334' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\address' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5335' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\num_of_pages' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5336' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\num_of_positions' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5337' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\communication_buffer' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5338' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\read_buffer' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5339' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\timeout' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5340' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\timeout_counter' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5341' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\accumulator' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5342' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\temp_buffer' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5343' with positive edge clock. Creating register for signal `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.\return_state' using process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. created $dff cell `$procdff$5344' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\write_ptr' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206'. created $dff cell `$procdff$5345' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_ADDR' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206'. created $dff cell `$procdff$5346' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_DATA' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206'. created $dff cell `$procdff$5347' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206'. created $dff cell `$procdff$5348' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\read_data_o' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:28$1200'. created $dff cell `$procdff$5349' with positive edge clock. Creating register for signal `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.\read_ptr' using process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:28$1200'. created $dff cell `$procdff$5350' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\uart_tx_en' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:192$1368'. created $dff cell `$procdff$5351' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\tx_fifo_read' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:192$1368'. created $dff cell `$procdff$5352' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\uart_tx_data' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:192$1368'. created $dff cell `$procdff$5353' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\tx_read_fifo_state' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:192$1368'. created $dff cell `$procdff$5354' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\rx_fifo_write' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:169$1363'. created $dff cell `$procdff$5355' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\rx_fifo_data_in' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:169$1363'. created $dff cell `$procdff$5356' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\write_response' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. created $dff cell `$procdff$5357' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\tx_fifo_write' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. created $dff cell `$procdff$5358' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\tx_fifo_data_in' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. created $dff cell `$procdff$5359' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\write_data_buffer' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. created $dff cell `$procdff$5360' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\counter_write' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. created $dff cell `$procdff$5361' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\state_write' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. created $dff cell `$procdff$5362' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\read_response' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. created $dff cell `$procdff$5363' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\read_data' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. created $dff cell `$procdff$5364' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\rx_fifo_read' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. created $dff cell `$procdff$5365' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\counter_read' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. created $dff cell `$procdff$5366' with positive edge clock. Creating register for signal `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.\state_read' using process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. created $dff cell `$procdff$5367' with positive edge clock. Creating register for signal `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_ADDR' using process `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:35$1343'. created $dff cell `$procdff$5368' with positive edge clock. Creating register for signal `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_DATA' using process `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:35$1343'. created $dff cell `$procdff$5369' with positive edge clock. Creating register for signal `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN' using process `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:35$1343'. created $dff cell `$procdff$5370' with positive edge clock. 34.4.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 34.4.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `TRELLIS_FF.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1103'. Found and cleaned up 2 empty switches in `\TRELLIS_FF.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:350$1102'. Removing empty process `TRELLIS_FF.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:350$1102'. Removing empty process `DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1077'. Found and cleaned up 1 empty switch in `\DPR16X4C.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:285$1054'. Removing empty process `TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$1020'. Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$996'. Removing empty process `TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$995'. Found and cleaned up 1 empty switch in `\Alu.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:28$858'. Removing empty process `Alu.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:28$858'. Found and cleaned up 9 empty switches in `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. Removing empty process `$paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:53$726'. Found and cleaned up 3 empty switches in `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. Removing empty process `MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:37$688'. Found and cleaned up 1 empty switch in `\MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:73$684'. Removing empty process `MEMWB.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:73$684'. Found and cleaned up 3 empty switches in `\ALU_Control.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:25$679'. Removing empty process `ALU_Control.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:25$679'. Found and cleaned up 3 empty switches in `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. Removing empty process `IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:75$649'. Found and cleaned up 2 empty switches in `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:136$639'. Removing empty process `IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:136$639'. Found and cleaned up 2 empty switches in `\IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:119$638'. Removing empty process `IDEX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:119$638'. Found and cleaned up 17 empty switches in `\IR_Decompression.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:25$625'. Removing empty process `IR_Decompression.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:25$625'. Found and cleaned up 3 empty switches in `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:156$617'. Removing empty process `$paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/Grande_Risco5.sv:156$617'. Found and cleaned up 5 empty switches in `\Invalid_IR_Check.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:15$596'. Removing empty process `Invalid_IR_Check.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/invalid_ir_check.sv:15$596'. Found and cleaned up 6 empty switches in `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. Removing empty process `$paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/cache_request_multiplexer.sv:41$587'. Found and cleaned up 2 empty switches in `\Immediate_Generator.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:20$586'. Removing empty process `Immediate_Generator.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/immediate_generator.sv:20$586'. Found and cleaned up 18 empty switches in `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. Removing empty process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:84$549'. Found and cleaned up 1 empty switch in `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:214$542'. Removing empty process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:214$542'. Found and cleaned up 6 empty switches in `\Forwarding_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:13$529'. Removing empty process `Forwarding_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/forwarding_unit.sv:13$529'. Removing empty process `processorci_top.$proc$/eda/processor_ci/rtl/Grande-Risco-5.sv:145$528'. Removing empty process `processorci_top.$proc$/eda/processor_ci/rtl/Grande-Risco-5.sv:168$525'. Found and cleaned up 5 empty switches in `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. Removing empty process `$paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:39$478'. Removing empty process `$paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/core.sv:291$461'. Found and cleaned up 1 empty switch in `\MUX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:10$459'. Removing empty process `MUX.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mux.sv:10$459'. Found and cleaned up 4 empty switches in `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. Removing empty process `MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:66$451'. Found and cleaned up 6 empty switches in `\MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. Removing empty process `MDU.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:212$415'. Found and cleaned up 4 empty switches in `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. Removing empty process `$paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:44$347'. Found and cleaned up 32 empty switches in `\EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. Removing empty process `EXMEM.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:86$276'. Found and cleaned up 1 empty switch in `\Registers.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262'. Removing empty process `Registers.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:23$262'. Found and cleaned up 1 empty switch in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:87$244'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:87$244'. Found and cleaned up 3 empty switches in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:149$240'. Found and cleaned up 2 empty switches in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:136$236'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:136$236'. Found and cleaned up 1 empty switch in `\CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:99$235'. Removing empty process `CSR_Unit.$proc$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:99$235'. Found and cleaned up 4 empty switches in `$paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller.$proc$/eda/processor-ci-controller/rtl/controller.sv:158$1333'. Removing empty process `$paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller.$proc$/eda/processor-ci-controller/rtl/controller.sv:158$1333'. Removing empty process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:0$1311'. Found and cleaned up 6 empty switches in `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:28$1305'. Removing empty process `$paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100.$proc$/eda/processor-ci-controller/rtl/reset.sv:28$1305'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:131$1510'. Found and cleaned up 2 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1508'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:196$1508'. Found and cleaned up 1 empty switch in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1506'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:185$1506'. Found and cleaned up 3 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1498'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:170$1498'. Found and cleaned up 2 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1495'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:159$1495'. Found and cleaned up 3 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1489'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:147$1489'. Found and cleaned up 3 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1484'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:132$1484'. Found and cleaned up 1 empty switch in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1479'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:115$1479'. Found and cleaned up 2 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1470'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:96$1470'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:114$1463'. Found and cleaned up 5 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1457'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:173$1457'. Found and cleaned up 1 empty switch in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1455'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:162$1455'. Found and cleaned up 3 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1447'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:147$1447'. Found and cleaned up 5 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1433'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:130$1433'. Found and cleaned up 3 empty switches in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1427'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:115$1427'. Found and cleaned up 1 empty switch in `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1422'. Removing empty process `$paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx.$proc$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:98$1422'. Found and cleaned up 3 empty switches in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:48$1412'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:48$1412'. Found and cleaned up 3 empty switches in `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:27$1402'. Removing empty process `$paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider.$proc$/eda/processor-ci-controller/rtl/clk_divider.sv:27$1402'. Found and cleaned up 15 empty switches in `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. Removing empty process `$paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter.$proc$/eda/processor-ci-controller/rtl/interpreter.sv:110$1373'. Found and cleaned up 2 empty switches in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:39$1206'. Found and cleaned up 2 empty switches in `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:28$1200'. Removing empty process `$paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO.$proc$/eda/processor-ci-controller/rtl/fifo.sv:28$1200'. Found and cleaned up 3 empty switches in `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:192$1368'. Removing empty process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:192$1368'. Found and cleaned up 2 empty switches in `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:169$1363'. Removing empty process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:169$1363'. Found and cleaned up 5 empty switches in `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. Removing empty process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:114$1358'. Found and cleaned up 5 empty switches in `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. Removing empty process `$paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART.$proc$/eda/processor-ci-controller/modules/uart.sv:56$1353'. Found and cleaned up 1 empty switch in `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:35$1343'. Removing empty process `$paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory.$proc$/eda/processor-ci-controller/rtl/memory.sv:35$1343'. Cleaned up 232 empty switches. 34.4.12. Executing OPT_EXPR pass (perform const folding). Optimizing module Alu. Optimizing module $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000. Optimizing module MEMWB. Optimizing module ALU_Control. Optimizing module IDEX. Optimizing module IR_Decompression. Optimizing module $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5. Optimizing module Invalid_IR_Check. Optimizing module $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer. Optimizing module Immediate_Generator. Optimizing module $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID. Optimizing module Forwarding_Unit. Optimizing module processorci_top. Optimizing module $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000. Optimizing module $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core. Optimizing module MUX. Optimizing module MDU. Optimizing module $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000. Optimizing module EXMEM. Optimizing module Registers. Optimizing module CSR_Unit. Optimizing module $paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller. Optimizing module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Optimizing module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Optimizing module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Optimizing module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Optimizing module $paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter. Optimizing module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Optimizing module $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART. Optimizing module $paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory. 34.5. Executing FLATTEN pass (flatten design). Deleting now unused module Alu. Deleting now unused module $paramod\Branch_Prediction\BRANCH_PREDICTION_SIZE=32'00000000000000000000000010000000. Deleting now unused module MEMWB. Deleting now unused module ALU_Control. Deleting now unused module IDEX. Deleting now unused module IR_Decompression. Deleting now unused module $paramod$23a68cf7e8d7864c7c505faa64dc92239bb95b7c\Grande_Risco5. Deleting now unused module Invalid_IR_Check. Deleting now unused module $paramod$32ff7bf57b195fc60e573423b8bfbc6dfa6c0fc6\Cache_request_Multiplexer. Deleting now unused module Immediate_Generator. Deleting now unused module $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\IFID. Deleting now unused module Forwarding_Unit. Deleting now unused module $paramod\ICache\CACHE_SIZE=32'00000000000000000000000100000000. Deleting now unused module $paramod$0015433293fdfa0258ea8fc25a99f405034acb8d\Core. Deleting now unused module MUX. Deleting now unused module MDU. Deleting now unused module $paramod\DCache\CACHE_SIZE=32'00000000000000000000000100000000. Deleting now unused module EXMEM. Deleting now unused module Registers. Deleting now unused module CSR_Unit. Deleting now unused module $paramod$c01b165a566ed80087904d6393dad1a450c35648\Controller. Deleting now unused module $paramod\ResetBootSystem\CYCLES=s32'00000000000000000000000000010100. Deleting now unused module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_rx. Deleting now unused module $paramod$a7ede4d03e51f4eaf61fa9a68ebfd0ceb25838ff\uart_tx. Deleting now unused module $paramod$1849aaf56e55fcd2c7df624bf67aa48a75c68e43\ClkDivider. Deleting now unused module $paramod$f0de7fe9db28e42233cf40eb024c6bbe8909638e\Interpreter. Deleting now unused module $paramod$fb00a4f1e01b6404091dd91fbb53b046994f1d61\FIFO. Deleting now unused module $paramod$80813a10cda3ca1c5883ba1fc209c3bed3b2eb66\UART. Deleting now unused module $paramod$4f72619106350868fe9a662be73b0d81fc293e46\Memory. 34.6. Executing TRIBUF pass. 34.7. Executing DEMINOUT pass (demote inout ports to input or output). 34.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 237 unused cells and 1674 unused wires. 34.10. Executing CHECK pass (checking for obvious problems). Checking module processorci_top... Warning: Wire processorci_top.\miso is used but has no driver. Warning: Wire processorci_top.\intr is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [31] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [30] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [29] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [28] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [27] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [26] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [25] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [24] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [23] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [22] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [21] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [20] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [19] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [18] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [17] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [16] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [15] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [14] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [13] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [12] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [11] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [10] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [9] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [8] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [7] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [6] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [5] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [4] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [3] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [2] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [1] is used but has no driver. Warning: Wire processorci_top.\u_Controller.data_memory_read_data [0] is used but has no driver. Warning: Wire processorci_top.\ResetBootSystem.start is used but has no driver. Found and reported 35 problems. 34.11. Executing OPT pass (performing simple optimizations). 34.11.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.11.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 443 cells. 34.11.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Replacing known input bits on port A of cell $flatten\Processor.\N1.\First_Stage.$procmux$2723: \Processor.N1.First_Stage.finish_unaligned_pc -> 1'0 Analyzing evaluation results. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1554. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1557. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1560. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1563. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1584. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1587. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1590. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1608. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1611. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1614. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1620. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1623. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1626. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1632. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1635. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1638. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1644. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1647. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1650. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1668. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1671. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1686. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1689. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1695. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1698. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1704. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1707. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1713. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1716. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1722. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1725. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1731. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1734. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1750. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1752. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1755. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1774. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1776. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1779. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1797. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1800. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1806. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1809. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1815. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1818. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1824. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1827. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1851. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1857. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1863. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1869. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1887. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1893. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1899. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1905. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1911. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1917. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2107. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2110. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2112. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2114. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2123. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2125. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2127. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2137. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2139. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2141. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2143. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2152. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2154. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2156. dead port 1/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2165. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2167. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2169. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2177. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2179. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2187. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3160. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2189. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2198. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2200. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3166. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2210. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2212. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3172. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3178. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2221. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3184. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3190. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3196. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3208. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2230. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3214. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2241. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2243. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2245. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3220. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3226. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3232. dead port 1/2 on $mux $flatten\Processor.\DCache.$procmux$3238. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2256. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2258. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2260. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2270. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2272. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2274. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2283. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2285. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2294. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2296. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2305. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2307. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2316. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2318. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2329. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2340. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2351. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2353. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2363. dead port 2/2 on $mux $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2373. dead port 1/2 on $mux $flatten\Processor.\ICache.$procmux$2915. dead port 1/2 on $mux $flatten\Processor.\ICache.$procmux$2921. dead port 1/2 on $mux $flatten\Processor.\ICache.$procmux$2927. dead port 1/2 on $mux $flatten\Processor.\ICache.$procmux$2933. dead port 1/2 on $mux $flatten\Processor.\ICache.$procmux$2939. dead port 1/2 on $mux $flatten\Processor.\ICache.$procmux$2945. dead port 1/2 on $mux $flatten\Processor.\ICache.$procmux$2951. dead port 2/2 on $mux $flatten\Processor.\N1.\Second_Stage.\ALU_Control.$procmux$2014. dead port 2/2 on $mux $flatten\Processor.\N1.\Second_Stage.\ALU_Control.$procmux$2025. dead port 1/2 on $mux $flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$procmux$2885. dead port 2/2 on $mux $flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$procmux$2891. dead port 1/2 on $mux $flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$procmux$2900. dead port 2/2 on $mux $flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$procmux$2906. dead port 2/2 on $mux $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$procmux$2578. dead port 1/2 on $mux $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4895. dead port 1/2 on $mux $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4901. dead port 1/2 on $mux $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4907. dead port 1/2 on $mux $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4895. dead port 1/2 on $mux $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4901. dead port 1/2 on $mux $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4907. Removed 140 multiplexer ports. 34.11.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3157: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Processor.\DCache.$procmux$3157_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\DCache.$procmux$3157_Y [0] New connections: $flatten\Processor.\DCache.$procmux$3157_Y [31:1] = { $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] $flatten\Processor.\DCache.$procmux$3157_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3175: Old ports: A=24'000000000000000000000000, B=24'111111111111111111111111, Y=$flatten\Processor.\DCache.$procmux$3175_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\DCache.$procmux$3175_Y [0] New connections: $flatten\Processor.\DCache.$procmux$3175_Y [23:1] = { $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] $flatten\Processor.\DCache.$procmux$3175_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3205: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Processor.\DCache.$procmux$3205_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\DCache.$procmux$3205_Y [0] New connections: $flatten\Processor.\DCache.$procmux$3205_Y [31:1] = { $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] $flatten\Processor.\DCache.$procmux$3205_Y [0] } New ctrl vector for $pmux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2250: { $auto$opt_reduce.cc:137:opt_pmux$5416 $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2236_CTRL } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3223: Old ports: A=24'000000000000000000000000, B=24'111111111111111111111111, Y=$flatten\Processor.\DCache.$procmux$3223_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\DCache.$procmux$3223_Y [0] New connections: $flatten\Processor.\DCache.$procmux$3223_Y [23:1] = { $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] $flatten\Processor.\DCache.$procmux$3223_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0] } New ctrl vector for $pmux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2333: { $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2216_CMP [1] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2113_CMP $auto$opt_reduce.cc:137:opt_pmux$5418 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2358: { $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2211_CMP $auto$opt_reduce.cc:137:opt_pmux$5420 $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2359_CTRL } Consolidated identical input bits for $mux cell $flatten\Processor.\ICache.$procmux$2912: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Processor.\ICache.$procmux$2912_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\ICache.$procmux$2912_Y [0] New connections: $flatten\Processor.\ICache.$procmux$2912_Y [31:1] = { $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] $flatten\Processor.\ICache.$procmux$2912_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\ICache.$procmux$2930: Old ports: A=24'000000000000000000000000, B=24'111111111111111111111111, Y=$flatten\Processor.\ICache.$procmux$2930_Y New ports: A=1'0, B=1'1, Y=$flatten\Processor.\ICache.$procmux$2930_Y [0] New connections: $flatten\Processor.\ICache.$procmux$2930_Y [23:1] = { $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] $flatten\Processor.\ICache.$procmux$2930_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\RegisterBank.$procmux$3709: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 New ports: A=1'0, B=1'1, Y=$flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] New connections: $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [31:1] = { $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] $flatten\Processor.\N1.\RegisterBank.$0$memwr$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:25$248_EN[31:0]$265 [0] } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$procmux$2581: { $flatten\Processor.\N1.\Second_Stage.$0\is_branch_o[0:0] $flatten\Processor.\N1.\First_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:89$550_Y $auto$opt_reduce.cc:137:opt_pmux$5424 $flatten\Processor.\N1.\Second_Stage.$procmux$2095_CMP [0] $auto$opt_reduce.cc:137:opt_pmux$5422 $flatten\Processor.\N1.\Second_Stage.$procmux$2098_CMP [1] $flatten\Processor.\N1.\Second_Stage.$procmux$2095_CMP [2] } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3346: { $auto$opt_reduce.cc:137:opt_pmux$5426 $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3350_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3374: $auto$opt_reduce.cc:137:opt_pmux$5428 New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3546: { $flatten\Processor.\N1.\Third_Stage.$procmux$3358_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP $auto$opt_reduce.cc:137:opt_pmux$5430 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3564: { $flatten\Processor.\N1.\Third_Stage.$procmux$3359_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3358_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3357_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3444_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3381_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3350_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3322_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3378_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3319_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3376_CMP $auto$opt_reduce.cc:137:opt_pmux$5432 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\CSR.$procmux$3778: $auto$opt_reduce.cc:137:opt_pmux$5434 New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3653: { $flatten\Processor.\N1.\Third_Stage.$procmux$3661_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3660_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3659_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3658_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3657_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3656_CMP $auto$opt_reduce.cc:137:opt_pmux$5436 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\CSR.$procmux$3806: { $auto$opt_reduce.cc:137:opt_pmux$5444 $auto$opt_reduce.cc:137:opt_pmux$5442 $auto$opt_reduce.cc:137:opt_pmux$5440 $auto$opt_reduce.cc:137:opt_pmux$5438 $flatten\Processor.\N1.\CSR.$procmux$3815_CMP $flatten\Processor.\N1.\CSR.$procmux$3740_CMP $flatten\Processor.\N1.\CSR.$procmux$3780_CMP $flatten\Processor.\N1.\CSR.$procmux$3772_CMP $flatten\Processor.\N1.\CSR.$procmux$3760_CMP $flatten\Processor.\N1.\CSR.$procmux$3726_CMP $flatten\Processor.\N1.\CSR.$procmux$3749_CMP $flatten\Processor.\N1.\CSR.$procmux$3791_CMP $flatten\Processor.\N1.\CSR.$procmux$3779_CMP } Consolidated identical input bits for $mux cell $flatten\u_Controller.\Core_Memory.$procmux$5125: Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 New ports: A=1'0, B=1'1, Y=$flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] New connections: $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [31:1] = { $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] $flatten\u_Controller.\Core_Memory.$0$memwr$\memory$/eda/processor-ci-controller/rtl/memory.sv:37$1336_EN[31:0]$1346 [0] } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4169: { $flatten\u_Controller.\Interpreter.$procmux$4263_CMP $flatten\u_Controller.\Interpreter.$procmux$4259_CMP $flatten\u_Controller.\Interpreter.$procmux$4255_CMP $flatten\u_Controller.\Interpreter.$procmux$4229_CMP $flatten\u_Controller.\Interpreter.$procmux$4228_CMP $flatten\u_Controller.\Interpreter.$procmux$4224_CMP $flatten\u_Controller.\Interpreter.$procmux$4223_CMP $flatten\u_Controller.\Interpreter.$procmux$4219_CMP $flatten\u_Controller.\Interpreter.$procmux$4209_CMP $flatten\u_Controller.\Interpreter.$procmux$4205_CMP $auto$opt_reduce.cc:137:opt_pmux$5452 $flatten\u_Controller.\Interpreter.$procmux$4200_CMP $flatten\u_Controller.\Interpreter.$procmux$4199_CMP $auto$opt_reduce.cc:137:opt_pmux$5450 $flatten\u_Controller.\Interpreter.$procmux$4194_CMP $flatten\u_Controller.\Interpreter.$procmux$4193_CMP $flatten\u_Controller.\Interpreter.$procmux$4188_CMP $flatten\u_Controller.\Interpreter.$procmux$4184_CMP $flatten\u_Controller.\Interpreter.$procmux$4183_CMP $auto$opt_reduce.cc:137:opt_pmux$5448 $flatten\u_Controller.\Interpreter.$procmux$4177_CMP $flatten\u_Controller.\Interpreter.$procmux$4176_CMP $flatten\u_Controller.\Interpreter.$procmux$4175_CMP $auto$opt_reduce.cc:137:opt_pmux$5446 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4269: $auto$opt_reduce.cc:137:opt_pmux$5454 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4293: $auto$opt_reduce.cc:137:opt_pmux$5456 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4315: $auto$opt_reduce.cc:137:opt_pmux$5458 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4326: $auto$opt_reduce.cc:137:opt_pmux$5460 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4374: $auto$opt_reduce.cc:137:opt_pmux$5462 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4416: $auto$opt_reduce.cc:137:opt_pmux$5464 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4424: { $flatten\u_Controller.\Interpreter.$procmux$4195_CMP $flatten\u_Controller.\Interpreter.$procmux$4188_CMP $flatten\u_Controller.\Interpreter.$procmux$4177_CMP $flatten\u_Controller.\Interpreter.$procmux$4171_CMP $auto$opt_reduce.cc:137:opt_pmux$5466 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4459: { $flatten\u_Controller.\Interpreter.$procmux$4209_CMP $auto$opt_reduce.cc:137:opt_pmux$5468 $flatten\u_Controller.\Interpreter.$procmux$4199_CMP } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4512: { $auto$opt_reduce.cc:137:opt_pmux$5472 $auto$opt_reduce.cc:137:opt_pmux$5470 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4581: $auto$opt_reduce.cc:137:opt_pmux$5474 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4606: { $flatten\u_Controller.\Interpreter.$procmux$4209_CMP $auto$opt_reduce.cc:137:opt_pmux$5476 $flatten\u_Controller.\Interpreter.$procmux$4199_CMP } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4651: { $auto$opt_reduce.cc:137:opt_pmux$5478 $flatten\u_Controller.\Interpreter.$procmux$4295_CMP $flatten\u_Controller.\Interpreter.$procmux$4214_CMP $flatten\u_Controller.\Interpreter.$procmux$4209_CMP $flatten\u_Controller.\Interpreter.$procmux$4199_CMP } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4680: { $auto$opt_reduce.cc:137:opt_pmux$5482 $auto$opt_reduce.cc:137:opt_pmux$5480 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4762: { $flatten\u_Controller.\Interpreter.$procmux$4189_CMP $auto$opt_reduce.cc:137:opt_pmux$5484 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4773: { $flatten\u_Controller.\Interpreter.$procmux$4330_CMP $flatten\u_Controller.\Interpreter.$procmux$4229_CMP $auto$opt_reduce.cc:137:opt_pmux$5486 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4783: { $flatten\u_Controller.\Interpreter.$procmux$4228_CMP $auto$opt_reduce.cc:137:opt_pmux$5490 $auto$opt_reduce.cc:137:opt_pmux$5488 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4859: { $auto$opt_reduce.cc:137:opt_pmux$5492 $flatten\u_Controller.\Interpreter.$procmux$4228_CMP } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4883: { $flatten\u_Controller.\Interpreter.$procmux$4296_CMP $flatten\u_Controller.\Interpreter.$procmux$4295_CMP $auto$opt_reduce.cc:137:opt_pmux$5494 } New ctrl vector for $pmux cell $flatten\u_Controller.\Uart.$procmux$4990: $auto$opt_reduce.cc:137:opt_pmux$5496 Consolidated identical input bits for $mux cell $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892: Old ports: A=8'00000000, B=8'11111111, Y=$flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y New ports: A=1'0, B=1'1, Y=$flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] New connections: $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [7:1] = { $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0] } Consolidated identical input bits for $mux cell $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892: Old ports: A=8'00000000, B=8'11111111, Y=$flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y New ports: A=1'0, B=1'1, Y=$flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] New connections: $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [7:1] = { $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0] } New input vector for $reduce_or cell $auto$opt_reduce.cc:131:opt_pmux$5417: { $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2217_CMP $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2216_CMP [3:2] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2216_CMP [0] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2211_CMP $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2199_CMP } Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710: Old ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$4$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$836, B=0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y New ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1605_Y [0], B=1'0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854: Old ports: A=0, B=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$774, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y New ports: A=1'0, B=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1795_Y [0], Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3256: Old ports: A=$flatten\Processor.\DCache.$2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$404, B=0, Y=$flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 New ports: A=$flatten\Processor.\DCache.$procmux$3157_Y [0], B=1'0, Y=$flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] New connections: $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [31:1] = { $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:61$336_EN[31:0]$361 [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902: Old ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$812, B=0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y New ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1683_Y [0], B=1'0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3265: Old ports: A=$flatten\Processor.\DCache.$2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$401, B=24'000000000000000000000000, Y=$flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 New ports: A=$flatten\Processor.\DCache.$procmux$3175_Y [0], B=1'0, Y=$flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] New connections: $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [23:1] = { $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:60$335_EN[23:0]$358 [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3274: Old ports: A=$flatten\Processor.\DCache.$2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$388, B=0, Y=$flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 New ports: A=$flatten\Processor.\DCache.$procmux$3205_Y [0], B=1'0, Y=$flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] New connections: $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [31:1] = { $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] $flatten\Processor.\DCache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:55$334_EN[31:0]$355 [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\DCache.$procmux$3283: Old ports: A=$flatten\Processor.\DCache.$2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$385, B=24'000000000000000000000000, Y=$flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 New ports: A=$flatten\Processor.\DCache.$procmux$3223_Y [0], B=1'0, Y=$flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] New connections: $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [23:1] = { $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] $flatten\Processor.\DCache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:54$333_EN[23:0]$352 [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\ICache.$procmux$2963: Old ports: A=$flatten\Processor.\ICache.$2$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$515, B=0, Y=$flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 New ports: A=$flatten\Processor.\ICache.$procmux$2912_Y [0], B=1'0, Y=$flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] New connections: $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [31:1] = { $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] $flatten\Processor.\ICache.$0$memwr$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:65$468_EN[31:0]$485 [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\ICache.$procmux$2972: Old ports: A=$flatten\Processor.\ICache.$2$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$512, B=24'000000000000000000000000, Y=$flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 New ports: A=$flatten\Processor.\ICache.$procmux$2930_Y [0], B=1'0, Y=$flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] New connections: $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [23:1] = { $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] $flatten\Processor.\ICache.$0$memwr$\cache_tag$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:64$467_EN[23:0]$482 [0] } Consolidated identical input bits for $mux cell $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4910: Old ports: A=$flatten\u_Controller.\Uart.\rx_fifo.$2$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1218, B=8'00000000, Y=$flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 New ports: A=$flatten\u_Controller.\Uart.\rx_fifo.$procmux$4892_Y [0], B=1'0, Y=$flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] New connections: $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [7:1] = { $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\rx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] } Consolidated identical input bits for $mux cell $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4910: Old ports: A=$flatten\u_Controller.\Uart.\tx_fifo.$2$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1218, B=8'00000000, Y=$flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 New ports: A=$flatten\u_Controller.\Uart.\tx_fifo.$procmux$4892_Y [0], B=1'0, Y=$flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] New connections: $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [7:1] = { $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] $flatten\u_Controller.\Uart.\tx_fifo.$0$memwr$\memory$/eda/processor-ci-controller/rtl/fifo.sv:43$1199_EN[7:0]$1209 [0] } Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884: Old ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$3$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$815, B=0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y New ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1710_Y [0], B=1'0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1944: Old ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$765, B=0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 New ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1902_Y [0], B=1'0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:68$718_EN[31:0]$736 [0] } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1953: Old ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$762, B=0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 New ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1854_Y [0], B=1'0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:62$717_EN[31:0]$733 [0] } Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1935: Old ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$2$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$768, B=0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 New ports: A=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procmux$1884_Y [0], B=1'0, Y=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] New connections: $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [31:1] = { $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$0$memwr$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:73$719_EN[31:0]$739 [0] } Optimizing cells in module \processorci_top. Performed a total of 60 changes. 34.11.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 44 cells. 34.11.6. Executing OPT_DFF pass (perform DFF optimizations). Setting constant 1-bit at position 0 on $flatten\Processor.\N1.\First_Stage.$procdff$5195 ($dff) from module processorci_top. 34.11.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 612 unused wires. 34.11.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.11.9. Rerunning OPT passes. (Maybe there is more to do..) 34.11.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.11.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New ctrl vector for $pmux cell $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1535: { $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1549_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1548_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1547_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1546_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1545_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1544_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1543_CMP $auto$opt_reduce.cc:137:opt_pmux$5500 $auto$opt_reduce.cc:137:opt_pmux$5498 $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1538_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1537_CMP $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1536_CMP } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3318: { $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP $auto$opt_reduce.cc:137:opt_pmux$5502 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3346: { $auto$opt_reduce.cc:137:opt_pmux$5426 $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP $auto$opt_reduce.cc:137:opt_pmux$5504 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3514: $auto$opt_reduce.cc:137:opt_pmux$5506 New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3534: $auto$opt_reduce.cc:137:opt_pmux$5508 New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3546: { $auto$opt_reduce.cc:137:opt_pmux$5510 $auto$opt_reduce.cc:137:opt_pmux$5430 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3564: { $flatten\Processor.\N1.\Third_Stage.$procmux$3359_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3358_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3357_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3444_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3381_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3350_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3378_CMP $auto$opt_reduce.cc:137:opt_pmux$5512 $flatten\Processor.\N1.\Third_Stage.$procmux$3376_CMP $auto$opt_reduce.cc:137:opt_pmux$5432 } New ctrl vector for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3633: { $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP $auto$opt_reduce.cc:137:opt_pmux$5514 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4459: { $auto$opt_reduce.cc:137:opt_pmux$5468 $auto$opt_reduce.cc:137:opt_pmux$5516 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4606: { $auto$opt_reduce.cc:137:opt_pmux$5468 $auto$opt_reduce.cc:137:opt_pmux$5518 } New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4651: { $auto$opt_reduce.cc:137:opt_pmux$5478 $flatten\u_Controller.\Interpreter.$procmux$4295_CMP $flatten\u_Controller.\Interpreter.$procmux$4214_CMP $auto$opt_reduce.cc:137:opt_pmux$5520 } Optimizing cells in module \processorci_top. Performed a total of 11 changes. 34.11.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 4 cells. 34.11.13. Executing OPT_DFF pass (perform DFF optimizations). 34.11.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 6 unused wires. 34.11.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.11.16. Rerunning OPT passes. (Maybe there is more to do..) 34.11.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.11.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.11.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.11.20. Executing OPT_DFF pass (perform DFF optimizations). 34.11.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.11.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.11.23. Finished OPT passes. (There is nothing left to do.) 34.12. Executing FSM pass (extract and optimize FSM). 34.12.1. Executing FSM_DETECT pass (finding FSMs in design). Not marking processorci_top.Processor.N1.CSR.MIP_reg as FSM state register: Users of register don't seem to benefit from recoding. Found FSM state register processorci_top.Processor.N1.Second_Stage.Mdu.state_div. Found FSM state register processorci_top.Processor.N1.Second_Stage.Mdu.state_mul. Not marking processorci_top.Processor.N1.Second_Stage.alu_op_o as FSM state register: Users of register don't seem to benefit from recoding. Found FSM state register processorci_top.Processor.N1.Third_Stage.unaligned_access_state. Not marking processorci_top.ResetBootSystem.state as FSM state register: Register has an initialization value. Not marking processorci_top.u_Controller.Interpreter.return_state as FSM state register: Users of register don't seem to benefit from recoding. Found FSM state register processorci_top.u_Controller.Uart.i_uart_rx.fsm_state. Not marking processorci_top.u_Controller.Uart.i_uart_tx.fsm_state as FSM state register: Users of register don't seem to benefit from recoding. Found FSM state register processorci_top.u_Controller.Uart.state_read. Found FSM state register processorci_top.u_Controller.Uart.state_write. Found FSM state register processorci_top.u_Controller.Uart.tx_read_fifo_state. 34.12.2. Executing FSM_EXTRACT pass (extracting FSM from design). Extracting FSM `\Processor.N1.Second_Stage.Mdu.state_div' from module `\processorci_top'. found $dff cell for state register: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5226 root of input selection tree: $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_div[1:0] found reset state: 2'00 (guessed from mux tree) found ctrl input: \u_Controller.Interpreter.core_reset found ctrl input: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3074_CMP found ctrl input: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3078_CMP found state code: 2'00 found ctrl input: $flatten\Processor.\N1.\Second_Stage.\Mdu.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:233$436_Y found state code: 2'01 found state code: 2'10 found ctrl input: $flatten\Processor.\N1.\Second_Stage.\Mdu.$and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:220$417_Y found ctrl output: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3074_CMP found ctrl output: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3078_CMP found ctrl output: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3084_CMP ctrl inputs: { $flatten\Processor.\N1.\Second_Stage.\Mdu.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:233$436_Y $flatten\Processor.\N1.\Second_Stage.\Mdu.$and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:220$417_Y \u_Controller.Interpreter.core_reset } ctrl outputs: { $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3084_CMP $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3078_CMP $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3074_CMP $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_div[1:0] } transition: 2'00 3'-00 -> 2'00 5'01000 transition: 2'00 3'-10 -> 2'01 5'01001 transition: 2'00 3'--1 -> 2'00 5'01000 transition: 2'10 3'--0 -> 2'00 5'10000 transition: 2'10 3'--1 -> 2'00 5'10000 transition: 2'01 3'0-0 -> 2'01 5'00101 transition: 2'01 3'1-0 -> 2'10 5'00110 transition: 2'01 3'--1 -> 2'00 5'00100 Extracting FSM `\Processor.N1.Second_Stage.Mdu.state_mul' from module `\processorci_top'. found $dff cell for state register: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5222 root of input selection tree: $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_mul[1:0] found reset state: 2'00 (guessed from mux tree) found ctrl input: \u_Controller.Interpreter.core_reset found ctrl input: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3014_CMP found ctrl input: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3018_CMP found state code: 2'00 found state code: 2'10 found ctrl input: $flatten\Processor.\N1.\Second_Stage.\Mdu.$and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:77$454_Y found state code: 2'01 found ctrl output: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3014_CMP found ctrl output: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3018_CMP found ctrl output: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3024_CMP ctrl inputs: { $flatten\Processor.\N1.\Second_Stage.\Mdu.$and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:77$454_Y \u_Controller.Interpreter.core_reset } ctrl outputs: { $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3024_CMP $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3018_CMP $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3014_CMP $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_mul[1:0] } transition: 2'00 2'00 -> 2'00 5'01000 transition: 2'00 2'10 -> 2'01 5'01001 transition: 2'00 2'-1 -> 2'00 5'01000 transition: 2'10 2'-0 -> 2'00 5'10000 transition: 2'10 2'-1 -> 2'00 5'10000 transition: 2'01 2'-0 -> 2'10 5'00110 transition: 2'01 2'-1 -> 2'00 5'00100 Extracting FSM `\Processor.N1.Third_Stage.unaligned_access_state' from module `\processorci_top'. found $dff cell for state register: $flatten\Processor.\N1.\Third_Stage.$procdff$5258 root of input selection tree: $flatten\Processor.\N1.\Third_Stage.$0\unaligned_access_state[3:0] found reset state: 4'0000 (guessed from mux tree) found ctrl input: \u_Controller.Interpreter.core_reset found ctrl input: $flatten\Processor.\N1.\Third_Stage.$logic_or$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:98$279_Y found ctrl input: $auto$opt_reduce.cc:137:opt_pmux$5432 found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3376_CMP found ctrl input: $auto$opt_reduce.cc:137:opt_pmux$5502 found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3378_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3350_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3381_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3444_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3357_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3358_CMP found ctrl input: $flatten\Processor.\N1.\Third_Stage.$procmux$3359_CMP found state code: 4'1001 found ctrl input: $flatten\Processor.\N1.\Third_Stage.$reduce_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:248$295_Y found state code: 4'1101 found state code: 4'0110 found ctrl input: \Processor.N1.Third_Stage.data_memory_response found state code: 4'0000 found state code: 4'1100 found ctrl input: \Processor.N1.Third_Stage.subword found state code: 4'1000 found state code: 4'1111 found state code: 4'0111 found state code: 4'0101 found state code: 4'1110 found state code: 4'1010 found state code: 4'0011 found state code: 4'0010 found ctrl input: \Processor.N1.Third_Stage.subword_store found ctrl input: $flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:59$704_Y found ctrl input: $flatten\Processor.\N1.\Third_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:106$281_Y found state code: 4'0100 found state code: 4'0001 found state code: 4'1011 found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3444_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3381_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3380_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3378_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3376_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3375_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3359_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3358_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3357_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3350_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3322_CMP found ctrl output: $flatten\Processor.\N1.\Third_Stage.$procmux$3319_CMP ctrl inputs: { $auto$opt_reduce.cc:137:opt_pmux$5432 $auto$opt_reduce.cc:137:opt_pmux$5502 $flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:59$704_Y \Processor.N1.Third_Stage.subword_store \Processor.N1.Third_Stage.subword \Processor.N1.Third_Stage.data_memory_response $flatten\Processor.\N1.\Third_Stage.$logic_or$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:98$279_Y $flatten\Processor.\N1.\Third_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:106$281_Y $flatten\Processor.\N1.\Third_Stage.$reduce_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:248$295_Y \u_Controller.Interpreter.core_reset } ctrl outputs: { $flatten\Processor.\N1.\Third_Stage.$0\unaligned_access_state[3:0] $flatten\Processor.\N1.\Third_Stage.$procmux$3319_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3322_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3350_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3357_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3358_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3359_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3375_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3376_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3378_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3380_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3381_CMP $flatten\Processor.\N1.\Third_Stage.$procmux$3444_CMP } transition: 4'0000 10'------0--0 -> 4'0000 20'00000000000001000000 transition: 4'0000 10'--00--10-0 -> 4'0000 20'00000000000001000000 transition: 4'0000 10'--00--11-0 -> 4'0100 20'01000000000001000000 transition: 4'0000 10'--10--1--0 -> 4'0001 20'00010000000001000000 transition: 4'0000 10'---1--1--0 -> 4'1011 20'10110000000001000000 transition: 4'0000 10'---------1 -> 4'0000 20'00000000000001000000 transition: 4'1000 10'------0--0 -> 4'1000 20'10000000000000000100 transition: 4'1000 10'-0----1--0 -> 4'1001 20'10010000000000000100 transition: 4'1000 10'---------1 -> 4'0000 20'00000000000000000100 transition: 4'0100 10'------0--0 -> 4'0100 20'01000000001000000000 transition: 4'0100 10'-----01--0 -> 4'0100 20'01000000001000000000 transition: 4'0100 10'----011--0 -> 4'0101 20'01010000001000000000 transition: 4'0100 10'----111--0 -> 4'1110 20'11100000001000000000 transition: 4'0100 10'---------1 -> 4'0000 20'00000000001000000000 transition: 4'1100 10'------0--0 -> 4'1100 20'11000000000000001000 transition: 4'1100 10'------1--0 -> 4'1101 20'11010000000000001000 transition: 4'1100 10'---------1 -> 4'0000 20'00000000000000001000 transition: 4'0010 10'------0--0 -> 4'0010 20'00100000000100000000 transition: 4'0010 10'-----01--0 -> 4'0010 20'00100000000100000000 transition: 4'0010 10'-----11--0 -> 4'0011 20'00110000000100000000 transition: 4'0010 10'---------1 -> 4'0000 20'00000000000100000000 transition: 4'1010 10'------0--0 -> 4'1010 20'10100010000000000000 transition: 4'1010 10'------1--0 -> 4'0000 20'00000010000000000000 transition: 4'1010 10'---------1 -> 4'0000 20'00000010000000000000 transition: 4'0110 10'------0--0 -> 4'0110 20'01100000010000000000 transition: 4'0110 10'-----01--0 -> 4'0110 20'01100000010000000000 transition: 4'0110 10'-----11--0 -> 4'0111 20'01110000010000000000 transition: 4'0110 10'---------1 -> 4'0000 20'00000000010000000000 transition: 4'1110 10'------0--0 -> 4'1110 20'11100000000000010000 transition: 4'1110 10'------1-00 -> 4'1101 20'11010000000000010000 transition: 4'1110 10'------1-10 -> 4'0110 20'01100000000000010000 transition: 4'1110 10'---------1 -> 4'0000 20'00000000000000010000 transition: 4'0001 10'------0--0 -> 4'0001 20'00010000000010000000 transition: 4'0001 10'-----01--0 -> 4'0001 20'00010000000010000000 transition: 4'0001 10'-----11--0 -> 4'0010 20'00100000000010000000 transition: 4'0001 10'---------1 -> 4'0000 20'00000000000010000000 transition: 4'1001 10'------0--0 -> 4'1001 20'10010100000000000000 transition: 4'1001 10'-----01--0 -> 4'1001 20'10010100000000000000 transition: 4'1001 10'-----11--0 -> 4'0000 20'00000100000000000000 transition: 4'1001 10'---------1 -> 4'0000 20'00000100000000000000 transition: 4'0101 10'------0--0 -> 4'0101 20'01010000000000000010 transition: 4'0101 10'------1--0 -> 4'0110 20'01100000000000000010 transition: 4'0101 10'---------1 -> 4'0000 20'00000000000000000010 transition: 4'1101 10'------0--0 -> 4'1101 20'11011000000000000000 transition: 4'1101 10'-----01--0 -> 4'1101 20'11011000000000000000 transition: 4'1101 10'-----11--0 -> 4'0000 20'00001000000000000000 transition: 4'1101 10'---------1 -> 4'0000 20'00001000000000000000 transition: 4'0011 10'------0--0 -> 4'0011 20'00110000000000000001 transition: 4'0011 10'------1--0 -> 4'1010 20'10100000000000000001 transition: 4'0011 10'---------1 -> 4'0000 20'00000000000000000001 transition: 4'1011 10'------0--0 -> 4'1011 20'10110001000000000000 transition: 4'1011 10'-----01--0 -> 4'1011 20'10110001000000000000 transition: 4'1011 10'-----11--0 -> 4'1100 20'11000001000000000000 transition: 4'1011 10'---------1 -> 4'0000 20'00000001000000000000 transition: 4'0111 10'------0--0 -> 4'0111 20'01110000100000000000 transition: 4'0111 10'-----01--0 -> 4'0111 20'01110000100000000000 transition: 4'0111 10'----011--0 -> 4'1000 20'10000000100000000000 transition: 4'0111 10'----111--0 -> 4'1111 20'11110000100000000000 transition: 4'0111 10'---------1 -> 4'0000 20'00000000100000000000 transition: 4'1111 10'------0--0 -> 4'1111 20'11110000000000100000 transition: 4'1111 10'-0----1--0 -> 4'1001 20'10010000000000100000 transition: 4'1111 10'---------1 -> 4'0000 20'00000000000000100000 Extracting FSM `\u_Controller.Uart.i_uart_rx.fsm_state' from module `\processorci_top'. found $dff cell for state register: $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5289 root of input selection tree: $flatten\u_Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] found reset state: 3'000 (guessed from mux tree) found ctrl input: \ResetBootSystem.rst_o found ctrl input: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1474_Y found ctrl input: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1487_Y found ctrl input: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1500_Y found ctrl input: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1486_Y found state code: 3'000 found ctrl input: \u_Controller.Uart.i_uart_rx.next_bit found state code: 3'011 found ctrl input: \u_Controller.Uart.i_uart_rx.payload_done found state code: 3'010 found state code: 3'001 found ctrl input: \u_Controller.Uart.i_uart_rx.rxd_reg found ctrl output: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1500_Y found ctrl output: $flatten\u_Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1491_Y found ctrl output: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1487_Y found ctrl output: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1486_Y found ctrl output: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1474_Y ctrl inputs: { \ResetBootSystem.rst_o \u_Controller.Uart.i_uart_rx.rxd_reg \u_Controller.Uart.i_uart_rx.next_bit \u_Controller.Uart.i_uart_rx.payload_done } ctrl outputs: { $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1474_Y $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1486_Y $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1487_Y $flatten\u_Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1491_Y $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1500_Y $flatten\u_Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] } transition: 3'000 4'00-- -> 3'001 8'01010001 transition: 3'000 4'01-- -> 3'000 8'01010000 transition: 3'000 4'1--- -> 3'000 8'01010000 transition: 3'010 4'0--0 -> 3'010 8'00100010 transition: 3'010 4'0--1 -> 3'011 8'00100011 transition: 3'010 4'1--- -> 3'000 8'00100000 transition: 3'001 4'0-0- -> 3'001 8'00011001 transition: 3'001 4'0-1- -> 3'010 8'00011010 transition: 3'001 4'1--- -> 3'000 8'00011000 transition: 3'011 4'0-0- -> 3'011 8'10010011 transition: 3'011 4'0-1- -> 3'000 8'10010000 transition: 3'011 4'1--- -> 3'000 8'10010000 Extracting FSM `\u_Controller.Uart.state_read' from module `\processorci_top'. found $dff cell for state register: $flatten\u_Controller.\Uart.$procdff$5367 root of input selection tree: $flatten\u_Controller.\Uart.$0\state_read[3:0] found reset state: 4'0000 (guessed from mux tree) found ctrl input: \ResetBootSystem.rst_o found ctrl input: $flatten\u_Controller.\Uart.$procmux$5076_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$5077_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$5069_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$5087_CMP found state code: 4'0000 found state code: 4'0011 found state code: 4'0001 found ctrl input: $flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:78$1355_Y found state code: 4'0010 found ctrl input: \u_Controller.Interpreter.communication_rx_empty found state code: 4'0100 found ctrl input: \u_Controller.Interpreter.communication_read found ctrl output: $flatten\u_Controller.\Uart.$procmux$5055_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$5069_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$5076_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$5077_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$5087_CMP ctrl inputs: { \ResetBootSystem.rst_o \u_Controller.Interpreter.communication_read \u_Controller.Interpreter.communication_rx_empty $flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:78$1355_Y } ctrl outputs: { $flatten\u_Controller.\Uart.$procmux$5087_CMP $flatten\u_Controller.\Uart.$procmux$5077_CMP $flatten\u_Controller.\Uart.$procmux$5076_CMP $flatten\u_Controller.\Uart.$procmux$5069_CMP $flatten\u_Controller.\Uart.$procmux$5055_CMP $flatten\u_Controller.\Uart.$0\state_read[3:0] } transition: 4'0000 4'00-- -> 4'0000 9'100000000 transition: 4'0000 4'01-- -> 4'0001 9'100000001 transition: 4'0000 4'1--- -> 4'0000 9'100000000 transition: 4'0100 4'0--- -> 4'0001 9'010000001 transition: 4'0100 4'1--- -> 4'0000 9'010000000 transition: 4'0010 4'0--- -> 4'0011 9'001000011 transition: 4'0010 4'1--- -> 4'0000 9'001000000 transition: 4'0001 4'0--0 -> 4'0010 9'000100010 transition: 4'0001 4'0-01 -> 4'0100 9'000100100 transition: 4'0001 4'0-11 -> 4'0001 9'000100001 transition: 4'0001 4'1--- -> 4'0000 9'000100000 transition: 4'0011 4'0--- -> 4'0000 9'000010000 transition: 4'0011 4'1--- -> 4'0000 9'000010000 Extracting FSM `\u_Controller.Uart.state_write' from module `\processorci_top'. found $dff cell for state register: $flatten\u_Controller.\Uart.$procdff$5362 root of input selection tree: $flatten\u_Controller.\Uart.$0\state_write[3:0] found reset state: 4'0000 (guessed from mux tree) found ctrl input: \ResetBootSystem.rst_o found ctrl input: $flatten\u_Controller.\Uart.$procmux$4992_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$4985_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$5004_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$5008_CMP found state code: 4'0000 found state code: 4'0011 found ctrl input: $flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:141$1360_Y found state code: 4'0010 found state code: 4'0101 found ctrl input: \u_Controller.Interpreter.communication_write found state code: 4'0100 found ctrl output: $flatten\u_Controller.\Uart.$procmux$4985_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$4991_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$4992_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$5004_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$5008_CMP ctrl inputs: { \ResetBootSystem.rst_o \u_Controller.Interpreter.communication_write $flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:141$1360_Y } ctrl outputs: { $flatten\u_Controller.\Uart.$procmux$5008_CMP $flatten\u_Controller.\Uart.$procmux$5004_CMP $flatten\u_Controller.\Uart.$procmux$4992_CMP $flatten\u_Controller.\Uart.$procmux$4991_CMP $flatten\u_Controller.\Uart.$procmux$4985_CMP $flatten\u_Controller.\Uart.$0\state_write[3:0] } transition: 4'0000 3'00- -> 4'0000 9'100000000 transition: 4'0000 3'01- -> 4'0100 9'100000100 transition: 4'0000 3'1-- -> 4'0000 9'100000000 transition: 4'0100 3'0-- -> 4'0101 9'010000101 transition: 4'0100 3'1-- -> 4'0000 9'010000000 transition: 4'0010 3'0-- -> 4'0011 9'001000011 transition: 4'0010 3'1-- -> 4'0000 9'001000000 transition: 4'0101 3'0-0 -> 4'0010 9'000010010 transition: 4'0101 3'0-1 -> 4'0101 9'000010101 transition: 4'0101 3'1-- -> 4'0000 9'000010000 transition: 4'0011 3'0-- -> 4'0000 9'000100000 transition: 4'0011 3'1-- -> 4'0000 9'000100000 Extracting FSM `\u_Controller.Uart.tx_read_fifo_state' from module `\processorci_top'. found $dff cell for state register: $flatten\u_Controller.\Uart.$procdff$5354 root of input selection tree: $flatten\u_Controller.\Uart.$0\tx_read_fifo_state[1:0] found ctrl input: \ResetBootSystem.rst_o found ctrl input: $flatten\u_Controller.\Uart.$procmux$4951_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$4946_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$4953_CMP found ctrl input: $flatten\u_Controller.\Uart.$procmux$4940_CMP found state code: 2'00 found state code: 2'11 found state code: 2'10 found ctrl input: $flatten\u_Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.sv:201$1372_Y found state code: 2'01 found ctrl output: $flatten\u_Controller.\Uart.$procmux$4940_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$4946_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$4951_CMP found ctrl output: $flatten\u_Controller.\Uart.$procmux$4953_CMP ctrl inputs: { \ResetBootSystem.rst_o $flatten\u_Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.sv:201$1372_Y } ctrl outputs: { $flatten\u_Controller.\Uart.$procmux$4953_CMP $flatten\u_Controller.\Uart.$procmux$4951_CMP $flatten\u_Controller.\Uart.$procmux$4946_CMP $flatten\u_Controller.\Uart.$procmux$4940_CMP $flatten\u_Controller.\Uart.$0\tx_read_fifo_state[1:0] } transition: 2'00 2'00 -> 2'00 6'000100 transition: 2'00 2'01 -> 2'01 6'000101 transition: 2'00 2'1- -> 2'00 6'000100 transition: 2'10 2'0- -> 2'11 6'001011 transition: 2'10 2'1- -> 2'10 6'001010 transition: 2'01 2'0- -> 2'10 6'100010 transition: 2'01 2'1- -> 2'01 6'100001 transition: 2'11 2'0- -> 2'00 6'010000 transition: 2'11 2'1- -> 2'11 6'010011 34.12.3. Executing FSM_OPT pass (simple optimizations of FSMs). Optimizing FSM `$fsm$\u_Controller.Uart.tx_read_fifo_state$5570' from module `\processorci_top'. Optimizing FSM `$fsm$\u_Controller.Uart.state_write$5563' from module `\processorci_top'. Merging pattern 3'0-- and 3'1-- from group (4 0 9'000100000). Merging pattern 3'1-- and 3'0-- from group (4 0 9'000100000). Optimizing FSM `$fsm$\u_Controller.Uart.state_read$5556' from module `\processorci_top'. Merging pattern 4'0--- and 4'1--- from group (4 0 9'000010000). Merging pattern 4'1--- and 4'0--- from group (4 0 9'000010000). Optimizing FSM `$fsm$\u_Controller.Uart.i_uart_rx.fsm_state$5549' from module `\processorci_top'. Optimizing FSM `$fsm$\Processor.N1.Third_Stage.unaligned_access_state$5531' from module `\processorci_top'. Removing unused input signal $auto$opt_reduce.cc:137:opt_pmux$5432. Optimizing FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_mul$5526' from module `\processorci_top'. Merging pattern 2'-0 and 2'-1 from group (1 0 5'10000). Merging pattern 2'-1 and 2'-0 from group (1 0 5'10000). Optimizing FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_div$5521' from module `\processorci_top'. Merging pattern 3'--0 and 3'--1 from group (1 0 5'10000). Merging pattern 3'--1 and 3'--0 from group (1 0 5'10000). 34.12.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 85 unused cells and 85 unused wires. 34.12.5. Executing FSM_OPT pass (simple optimizations of FSMs). Optimizing FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_div$5521' from module `\processorci_top'. Removing unused output signal $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_div[1:0] [0]. Removing unused output signal $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_div[1:0] [1]. Optimizing FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_mul$5526' from module `\processorci_top'. Removing unused output signal $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_mul[1:0] [0]. Removing unused output signal $flatten\Processor.\N1.\Second_Stage.\Mdu.$0\state_mul[1:0] [1]. Optimizing FSM `$fsm$\Processor.N1.Third_Stage.unaligned_access_state$5531' from module `\processorci_top'. Removing unused output signal $flatten\Processor.\N1.\Third_Stage.$0\unaligned_access_state[3:0] [0]. Removing unused output signal $flatten\Processor.\N1.\Third_Stage.$0\unaligned_access_state[3:0] [1]. Removing unused output signal $flatten\Processor.\N1.\Third_Stage.$0\unaligned_access_state[3:0] [2]. Removing unused output signal $flatten\Processor.\N1.\Third_Stage.$0\unaligned_access_state[3:0] [3]. Optimizing FSM `$fsm$\u_Controller.Uart.i_uart_rx.fsm_state$5549' from module `\processorci_top'. Removing unused output signal $flatten\u_Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [0]. Removing unused output signal $flatten\u_Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [1]. Removing unused output signal $flatten\u_Controller.\Uart.\i_uart_rx.$0\fsm_state[2:0] [2]. Optimizing FSM `$fsm$\u_Controller.Uart.state_read$5556' from module `\processorci_top'. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_read[3:0] [0]. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_read[3:0] [1]. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_read[3:0] [2]. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_read[3:0] [3]. Removing unused output signal $flatten\u_Controller.\Uart.$procmux$5076_CMP. Removing unused output signal $flatten\u_Controller.\Uart.$procmux$5077_CMP. Optimizing FSM `$fsm$\u_Controller.Uart.state_write$5563' from module `\processorci_top'. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_write[3:0] [0]. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_write[3:0] [1]. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_write[3:0] [2]. Removing unused output signal $flatten\u_Controller.\Uart.$0\state_write[3:0] [3]. Optimizing FSM `$fsm$\u_Controller.Uart.tx_read_fifo_state$5570' from module `\processorci_top'. Removing unused output signal $flatten\u_Controller.\Uart.$0\tx_read_fifo_state[1:0] [0]. Removing unused output signal $flatten\u_Controller.\Uart.$0\tx_read_fifo_state[1:0] [1]. Removing unused output signal $flatten\u_Controller.\Uart.$procmux$4951_CMP. Removing unused output signal $flatten\u_Controller.\Uart.$procmux$4953_CMP. 34.12.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). Recoding FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_div$5521' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 00 -> --1 10 -> -1- 01 -> 1-- Recoding FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_mul$5526' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 00 -> --1 10 -> -1- 01 -> 1-- Recoding FSM `$fsm$\Processor.N1.Third_Stage.unaligned_access_state$5531' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 0000 -> ---------------1 1000 -> --------------1- 0100 -> -------------1-- 1100 -> ------------1--- 0010 -> -----------1---- 1010 -> ----------1----- 0110 -> ---------1------ 1110 -> --------1------- 0001 -> -------1-------- 1001 -> ------1--------- 0101 -> -----1---------- 1101 -> ----1----------- 0011 -> ---1------------ 1011 -> --1------------- 0111 -> -1-------------- 1111 -> 1--------------- Recoding FSM `$fsm$\u_Controller.Uart.i_uart_rx.fsm_state$5549' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 000 -> ---1 010 -> --1- 001 -> -1-- 011 -> 1--- Recoding FSM `$fsm$\u_Controller.Uart.state_read$5556' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 0000 -> ----1 0100 -> ---1- 0010 -> --1-- 0001 -> -1--- 0011 -> 1---- Recoding FSM `$fsm$\u_Controller.Uart.state_write$5563' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 0000 -> ----1 0100 -> ---1- 0010 -> --1-- 0101 -> -1--- 0011 -> 1---- Recoding FSM `$fsm$\u_Controller.Uart.tx_read_fifo_state$5570' from module `\processorci_top' using `auto' encoding: mapping auto encoding to `one-hot` for this FSM. 00 -> ---1 10 -> --1- 01 -> -1-- 11 -> 1--- 34.12.7. Executing FSM_INFO pass (dumping all available information on FSM cells). FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_div$5521' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\Processor.N1.Second_Stage.Mdu.state_div$5521 (\Processor.N1.Second_Stage.Mdu.state_div): Number of input signals: 3 Number of output signals: 3 Number of state bits: 3 Input signals: 0: \u_Controller.Interpreter.core_reset 1: $flatten\Processor.\N1.\Second_Stage.\Mdu.$and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:220$417_Y 2: $flatten\Processor.\N1.\Second_Stage.\Mdu.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:233$436_Y Output signals: 0: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3074_CMP 1: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3078_CMP 2: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3084_CMP State encoding: 0: 3'--1 1: 3'-1- 2: 3'1-- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 3'-00 -> 0 3'010 1: 0 3'--1 -> 0 3'010 2: 0 3'-10 -> 2 3'010 3: 1 3'--- -> 0 3'100 4: 2 3'--1 -> 0 3'001 5: 2 3'1-0 -> 1 3'001 6: 2 3'0-0 -> 2 3'001 ------------------------------------- FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_mul$5526' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\Processor.N1.Second_Stage.Mdu.state_mul$5526 (\Processor.N1.Second_Stage.Mdu.state_mul): Number of input signals: 2 Number of output signals: 3 Number of state bits: 3 Input signals: 0: \u_Controller.Interpreter.core_reset 1: $flatten\Processor.\N1.\Second_Stage.\Mdu.$and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:77$454_Y Output signals: 0: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3014_CMP 1: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3018_CMP 2: $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3024_CMP State encoding: 0: 3'--1 1: 3'-1- 2: 3'1-- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 2'00 -> 0 3'010 1: 0 2'-1 -> 0 3'010 2: 0 2'10 -> 2 3'010 3: 1 2'-- -> 0 3'100 4: 2 2'-1 -> 0 3'001 5: 2 2'-0 -> 1 3'001 ------------------------------------- FSM `$fsm$\Processor.N1.Third_Stage.unaligned_access_state$5531' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\Processor.N1.Third_Stage.unaligned_access_state$5531 (\Processor.N1.Third_Stage.unaligned_access_state): Number of input signals: 9 Number of output signals: 16 Number of state bits: 16 Input signals: 0: \u_Controller.Interpreter.core_reset 1: $flatten\Processor.\N1.\Third_Stage.$reduce_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:248$295_Y 2: $flatten\Processor.\N1.\Third_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:106$281_Y 3: $flatten\Processor.\N1.\Third_Stage.$logic_or$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:98$279_Y 4: \Processor.N1.Third_Stage.data_memory_response 5: \Processor.N1.Third_Stage.subword 6: \Processor.N1.Third_Stage.subword_store 7: $flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:59$704_Y 8: $auto$opt_reduce.cc:137:opt_pmux$5502 Output signals: 0: $flatten\Processor.\N1.\Third_Stage.$procmux$3444_CMP 1: $flatten\Processor.\N1.\Third_Stage.$procmux$3381_CMP 2: $flatten\Processor.\N1.\Third_Stage.$procmux$3380_CMP 3: $flatten\Processor.\N1.\Third_Stage.$procmux$3378_CMP 4: $flatten\Processor.\N1.\Third_Stage.$procmux$3376_CMP 5: $flatten\Processor.\N1.\Third_Stage.$procmux$3375_CMP 6: $flatten\Processor.\N1.\Third_Stage.$procmux$3359_CMP 7: $flatten\Processor.\N1.\Third_Stage.$procmux$3358_CMP 8: $flatten\Processor.\N1.\Third_Stage.$procmux$3357_CMP 9: $flatten\Processor.\N1.\Third_Stage.$procmux$3356_CMP 10: $flatten\Processor.\N1.\Third_Stage.$procmux$3353_CMP 11: $flatten\Processor.\N1.\Third_Stage.$procmux$3350_CMP 12: $flatten\Processor.\N1.\Third_Stage.$procmux$3347_CMP 13: $flatten\Processor.\N1.\Third_Stage.$procmux$3323_CMP 14: $flatten\Processor.\N1.\Third_Stage.$procmux$3322_CMP 15: $flatten\Processor.\N1.\Third_Stage.$procmux$3319_CMP State encoding: 0: 16'---------------1 1: 16'--------------1- 2: 16'-------------1-- 3: 16'------------1--- 4: 16'-----------1---- 5: 16'----------1----- 6: 16'---------1------ 7: 16'--------1------- 8: 16'-------1-------- 9: 16'------1--------- 10: 16'-----1---------- 11: 16'----1----------- 12: 16'---1------------ 13: 16'--1------------- 14: 16'-1-------------- 15: 16'1--------------- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 9'-00--10-0 -> 0 16'0000000001000000 1: 0 9'-----0--0 -> 0 16'0000000001000000 2: 0 9'--------1 -> 0 16'0000000001000000 3: 0 9'-00--11-0 -> 2 16'0000000001000000 4: 0 9'-10--1--0 -> 8 16'0000000001000000 5: 0 9'--1--1--0 -> 13 16'0000000001000000 6: 1 9'--------1 -> 0 16'0000000000000100 7: 1 9'-----0--0 -> 1 16'0000000000000100 8: 1 9'0----1--0 -> 9 16'0000000000000100 9: 2 9'--------1 -> 0 16'0000001000000000 10: 2 9'-----0--0 -> 2 16'0000001000000000 11: 2 9'----01--0 -> 2 16'0000001000000000 12: 2 9'---111--0 -> 7 16'0000001000000000 13: 2 9'---011--0 -> 10 16'0000001000000000 14: 3 9'--------1 -> 0 16'0000000000001000 15: 3 9'-----0--0 -> 3 16'0000000000001000 16: 3 9'-----1--0 -> 11 16'0000000000001000 17: 4 9'--------1 -> 0 16'0000000100000000 18: 4 9'-----0--0 -> 4 16'0000000100000000 19: 4 9'----01--0 -> 4 16'0000000100000000 20: 4 9'----11--0 -> 12 16'0000000100000000 21: 5 9'-----1--0 -> 0 16'0010000000000000 22: 5 9'--------1 -> 0 16'0010000000000000 23: 5 9'-----0--0 -> 5 16'0010000000000000 24: 6 9'--------1 -> 0 16'0000010000000000 25: 6 9'-----0--0 -> 6 16'0000010000000000 26: 6 9'----01--0 -> 6 16'0000010000000000 27: 6 9'----11--0 -> 14 16'0000010000000000 28: 7 9'--------1 -> 0 16'0000000000010000 29: 7 9'-----1-10 -> 6 16'0000000000010000 30: 7 9'-----0--0 -> 7 16'0000000000010000 31: 7 9'-----1-00 -> 11 16'0000000000010000 32: 8 9'--------1 -> 0 16'0000000010000000 33: 8 9'----11--0 -> 4 16'0000000010000000 34: 8 9'-----0--0 -> 8 16'0000000010000000 35: 8 9'----01--0 -> 8 16'0000000010000000 36: 9 9'----11--0 -> 0 16'0100000000000000 37: 9 9'--------1 -> 0 16'0100000000000000 38: 9 9'-----0--0 -> 9 16'0100000000000000 39: 9 9'----01--0 -> 9 16'0100000000000000 40: 10 9'--------1 -> 0 16'0000000000000010 41: 10 9'-----1--0 -> 6 16'0000000000000010 42: 10 9'-----0--0 -> 10 16'0000000000000010 43: 11 9'----11--0 -> 0 16'1000000000000000 44: 11 9'--------1 -> 0 16'1000000000000000 45: 11 9'-----0--0 -> 11 16'1000000000000000 46: 11 9'----01--0 -> 11 16'1000000000000000 47: 12 9'--------1 -> 0 16'0000000000000001 48: 12 9'-----1--0 -> 5 16'0000000000000001 49: 12 9'-----0--0 -> 12 16'0000000000000001 50: 13 9'--------1 -> 0 16'0001000000000000 51: 13 9'----11--0 -> 3 16'0001000000000000 52: 13 9'-----0--0 -> 13 16'0001000000000000 53: 13 9'----01--0 -> 13 16'0001000000000000 54: 14 9'--------1 -> 0 16'0000100000000000 55: 14 9'---011--0 -> 1 16'0000100000000000 56: 14 9'-----0--0 -> 14 16'0000100000000000 57: 14 9'----01--0 -> 14 16'0000100000000000 58: 14 9'---111--0 -> 15 16'0000100000000000 59: 15 9'--------1 -> 0 16'0000000000100000 60: 15 9'0----1--0 -> 9 16'0000000000100000 61: 15 9'-----0--0 -> 15 16'0000000000100000 ------------------------------------- FSM `$fsm$\u_Controller.Uart.i_uart_rx.fsm_state$5549' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\u_Controller.Uart.i_uart_rx.fsm_state$5549 (\u_Controller.Uart.i_uart_rx.fsm_state): Number of input signals: 4 Number of output signals: 5 Number of state bits: 4 Input signals: 0: \u_Controller.Uart.i_uart_rx.payload_done 1: \u_Controller.Uart.i_uart_rx.next_bit 2: \u_Controller.Uart.i_uart_rx.rxd_reg 3: \ResetBootSystem.rst_o Output signals: 0: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:175$1500_Y 1: $flatten\u_Controller.\Uart.\i_uart_rx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:150$1491_Y 2: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:137$1487_Y 3: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:135$1486_Y 4: $flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:109$1474_Y State encoding: 0: 4'---1 1: 4'--1- 2: 4'-1-- 3: 4'1--- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 4'01-- -> 0 5'01010 1: 0 4'1--- -> 0 5'01010 2: 0 4'00-- -> 2 5'01010 3: 1 4'1--- -> 0 5'00100 4: 1 4'0--0 -> 1 5'00100 5: 1 4'0--1 -> 3 5'00100 6: 2 4'1--- -> 0 5'00011 7: 2 4'0-1- -> 1 5'00011 8: 2 4'0-0- -> 2 5'00011 9: 3 4'0-1- -> 0 5'10010 10: 3 4'1--- -> 0 5'10010 11: 3 4'0-0- -> 3 5'10010 ------------------------------------- FSM `$fsm$\u_Controller.Uart.state_read$5556' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\u_Controller.Uart.state_read$5556 (\u_Controller.Uart.state_read): Number of input signals: 4 Number of output signals: 3 Number of state bits: 5 Input signals: 0: $flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:78$1355_Y 1: \u_Controller.Interpreter.communication_rx_empty 2: \u_Controller.Interpreter.communication_read 3: \ResetBootSystem.rst_o Output signals: 0: $flatten\u_Controller.\Uart.$procmux$5055_CMP 1: $flatten\u_Controller.\Uart.$procmux$5069_CMP 2: $flatten\u_Controller.\Uart.$procmux$5087_CMP State encoding: 0: 5'----1 1: 5'---1- 2: 5'--1-- 3: 5'-1--- 4: 5'1---- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 4'00-- -> 0 3'100 1: 0 4'1--- -> 0 3'100 2: 0 4'01-- -> 3 3'100 3: 1 4'1--- -> 0 3'000 4: 1 4'0--- -> 3 3'000 5: 2 4'1--- -> 0 3'000 6: 2 4'0--- -> 4 3'000 7: 3 4'1--- -> 0 3'010 8: 3 4'0-01 -> 1 3'010 9: 3 4'0--0 -> 2 3'010 10: 3 4'0-11 -> 3 3'010 11: 4 4'---- -> 0 3'001 ------------------------------------- FSM `$fsm$\u_Controller.Uart.state_write$5563' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\u_Controller.Uart.state_write$5563 (\u_Controller.Uart.state_write): Number of input signals: 3 Number of output signals: 5 Number of state bits: 5 Input signals: 0: $flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:141$1360_Y 1: \u_Controller.Interpreter.communication_write 2: \ResetBootSystem.rst_o Output signals: 0: $flatten\u_Controller.\Uart.$procmux$4985_CMP 1: $flatten\u_Controller.\Uart.$procmux$4991_CMP 2: $flatten\u_Controller.\Uart.$procmux$4992_CMP 3: $flatten\u_Controller.\Uart.$procmux$5004_CMP 4: $flatten\u_Controller.\Uart.$procmux$5008_CMP State encoding: 0: 5'----1 1: 5'---1- 2: 5'--1-- 3: 5'-1--- 4: 5'1---- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 3'00- -> 0 5'10000 1: 0 3'1-- -> 0 5'10000 2: 0 3'01- -> 1 5'10000 3: 1 3'1-- -> 0 5'01000 4: 1 3'0-- -> 3 5'01000 5: 2 3'1-- -> 0 5'00100 6: 2 3'0-- -> 4 5'00100 7: 3 3'1-- -> 0 5'00001 8: 3 3'0-0 -> 2 5'00001 9: 3 3'0-1 -> 3 5'00001 10: 4 3'--- -> 0 5'00010 ------------------------------------- FSM `$fsm$\u_Controller.Uart.tx_read_fifo_state$5570' from module `processorci_top': ------------------------------------- Information on FSM $fsm$\u_Controller.Uart.tx_read_fifo_state$5570 (\u_Controller.Uart.tx_read_fifo_state): Number of input signals: 2 Number of output signals: 2 Number of state bits: 4 Input signals: 0: $flatten\u_Controller.\Uart.$logic_and$/eda/processor-ci-controller/modules/uart.sv:201$1372_Y 1: \ResetBootSystem.rst_o Output signals: 0: $flatten\u_Controller.\Uart.$procmux$4940_CMP 1: $flatten\u_Controller.\Uart.$procmux$4946_CMP State encoding: 0: 4'---1 1: 4'--1- 2: 4'-1-- 3: 4'1--- Transition Table (state_in, ctrl_in, state_out, ctrl_out): 0: 0 2'00 -> 0 2'01 1: 0 2'1- -> 0 2'01 2: 0 2'01 -> 2 2'01 3: 1 2'1- -> 1 2'10 4: 1 2'0- -> 3 2'10 5: 2 2'0- -> 1 2'00 6: 2 2'1- -> 2 2'00 7: 3 2'0- -> 0 2'00 8: 3 2'1- -> 3 2'00 ------------------------------------- 34.12.8. Executing FSM_MAP pass (mapping FSMs to basic logic). Mapping FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_div$5521' from module `\processorci_top'. Mapping FSM `$fsm$\Processor.N1.Second_Stage.Mdu.state_mul$5526' from module `\processorci_top'. Mapping FSM `$fsm$\Processor.N1.Third_Stage.unaligned_access_state$5531' from module `\processorci_top'. Mapping FSM `$fsm$\u_Controller.Uart.i_uart_rx.fsm_state$5549' from module `\processorci_top'. Mapping FSM `$fsm$\u_Controller.Uart.state_read$5556' from module `\processorci_top'. Mapping FSM `$fsm$\u_Controller.Uart.state_write$5563' from module `\processorci_top'. Mapping FSM `$fsm$\u_Controller.Uart.tx_read_fifo_state$5570' from module `\processorci_top'. 34.13. Executing OPT pass (performing simple optimizations). 34.13.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.13.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 40 cells. 34.13.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.13.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.13.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.13.6. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $flatten\u_Controller.\Uart.\tx_fifo.$procdff$5350 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4924_Y, Q = \u_Controller.Uart.tx_fifo.read_ptr, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$5976 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205_Y, Q = \u_Controller.Uart.tx_fifo.read_ptr). Adding SRST signal on $flatten\u_Controller.\Uart.\tx_fifo.$procdff$5349 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4929_Y, Q = \u_Controller.Uart.tx_fifo.read_data_o, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$5978 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\tx_fifo.$memrd$\memory$/eda/processor-ci-controller/rtl/fifo.sv:33$1204_DATA, Q = \u_Controller.Uart.tx_fifo.read_data_o). Adding SRST signal on $flatten\u_Controller.\Uart.\tx_fifo.$procdff$5345 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\tx_fifo.$procmux$4919_Y, Q = \u_Controller.Uart.tx_fifo.write_ptr, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$5980 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219_Y, Q = \u_Controller.Uart.tx_fifo.write_ptr). Adding SRST signal on $flatten\u_Controller.\Uart.\rx_fifo.$procdff$5350 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4924_Y, Q = \u_Controller.Uart.rx_fifo.read_ptr, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$5982 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205_Y, Q = \u_Controller.Uart.rx_fifo.read_ptr). Adding SRST signal on $flatten\u_Controller.\Uart.\rx_fifo.$procdff$5349 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4929_Y, Q = \u_Controller.Uart.rx_fifo.read_data_o, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$5984 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\rx_fifo.$memrd$\memory$/eda/processor-ci-controller/rtl/fifo.sv:33$1204_DATA, Q = \u_Controller.Uart.rx_fifo.read_data_o). Adding SRST signal on $flatten\u_Controller.\Uart.\rx_fifo.$procdff$5345 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\rx_fifo.$procmux$4919_Y, Q = \u_Controller.Uart.rx_fifo.write_ptr, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$5986 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219_Y, Q = \u_Controller.Uart.rx_fifo.write_ptr). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_tx.$procdff$5301 ($dff) from module processorci_top (D = { $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4128_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4122_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4113_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4104_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4095_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4086_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4068_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4077_Y }, Q = \u_Controller.Uart.i_uart_tx.data_to_send, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$5988 ($sdff) from module processorci_top (D = \u_Controller.Uart.uart_tx_data [7], Q = \u_Controller.Uart.i_uart_tx.data_to_send [7]). Adding EN signal on $auto$ff.cc:266:slice$5988 ($sdff) from module processorci_top (D = { $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4122_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4113_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4104_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4095_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4086_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4068_Y $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4077_Y }, Q = \u_Controller.Uart.i_uart_tx.data_to_send [6:0]). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_tx.$procdff$5299 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4044_Y, Q = \u_Controller.Uart.i_uart_tx.bit_counter, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$5993 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4044_Y, Q = \u_Controller.Uart.i_uart_tx.bit_counter). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_tx.$procdff$5298 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4033_Y, Q = \u_Controller.Uart.i_uart_tx.cycle_counter, rval = 10'0000000000). Adding EN signal on $auto$ff.cc:266:slice$5999 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1454_Y, Q = \u_Controller.Uart.i_uart_tx.cycle_counter). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_tx.$procdff$5297 ($dff) from module processorci_top (D = \u_Controller.Uart.i_uart_tx.n_fsm_state, Q = \u_Controller.Uart.i_uart_tx.fsm_state, rval = 3'000). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_tx.$procdff$5296 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4022_Y, Q = \u_Controller.Uart.i_uart_tx.txd_reg, rval = 1'1). Adding EN signal on $auto$ff.cc:266:slice$6004 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4022_Y, Q = \u_Controller.Uart.i_uart_tx.txd_reg). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5295 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$4011_Y, Q = \u_Controller.Uart.i_uart_rx.uart_rx_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$6010 ($sdff) from module processorci_top (D = \u_Controller.Uart.i_uart_rx.recieved_data, Q = \u_Controller.Uart.i_uart_rx.uart_rx_data). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5293 ($dff) from module processorci_top (D = { $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3988_Y $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3979_Y $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3970_Y $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3961_Y $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3952_Y $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3943_Y $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3925_Y $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3934_Y }, Q = \u_Controller.Uart.i_uart_rx.recieved_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$6012 ($sdff) from module processorci_top (D = { \u_Controller.Uart.i_uart_rx.bit_sample \u_Controller.Uart.i_uart_rx.recieved_data [7:1] }, Q = \u_Controller.Uart.i_uart_rx.recieved_data). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5292 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3907_Y, Q = \u_Controller.Uart.i_uart_rx.bit_counter, rval = 4'0000). Adding EN signal on $auto$ff.cc:266:slice$6016 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1494_Y, Q = \u_Controller.Uart.i_uart_rx.bit_counter). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5291 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3902_Y, Q = \u_Controller.Uart.i_uart_rx.bit_sample, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6020 ($sdff) from module processorci_top (D = \u_Controller.Uart.i_uart_rx.rxd_reg, Q = \u_Controller.Uart.i_uart_rx.bit_sample). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5290 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$3894_Y, Q = \u_Controller.Uart.i_uart_rx.cycle_counter, rval = 10'0000000000). Adding EN signal on $auto$ff.cc:266:slice$6022 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1505_Y, Q = \u_Controller.Uart.i_uart_rx.cycle_counter). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5288 ($dff) from module processorci_top (D = \rx, Q = \u_Controller.Uart.i_uart_rx.rxd_reg_0, rval = 1'1). Adding SRST signal on $flatten\u_Controller.\Uart.\i_uart_rx.$procdff$5287 ($dff) from module processorci_top (D = \u_Controller.Uart.i_uart_rx.rxd_reg_0, Q = \u_Controller.Uart.i_uart_rx.rxd_reg, rval = 1'1). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5366 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5100_Y, Q = \u_Controller.Uart.counter_read, rval = 3'000). Adding EN signal on $auto$ff.cc:266:slice$6028 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5100_Y, Q = \u_Controller.Uart.counter_read). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5365 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5064_Y, Q = \u_Controller.Uart.rx_fifo_read, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5364 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5115_Y, Q = \u_Controller.Uart.read_data, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6045 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5115_Y, Q = \u_Controller.Uart.read_data). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5363 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5054_Y, Q = \u_Controller.Uart.read_response, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5361 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5020_Y, Q = \u_Controller.Uart.counter_write, rval = 3'000). Adding EN signal on $auto$ff.cc:266:slice$6056 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5020_Y, Q = \u_Controller.Uart.counter_write). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5360 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5034_Y, Q = \u_Controller.Uart.write_data_buffer, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6066 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5034_Y, Q = \u_Controller.Uart.write_data_buffer). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5359 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$5048_Y, Q = \u_Controller.Uart.tx_fifo_data_in, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$6076 ($sdff) from module processorci_top (D = \u_Controller.Uart.write_data_buffer [31:24], Q = \u_Controller.Uart.tx_fifo_data_in). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5358 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$4980_Y, Q = \u_Controller.Uart.tx_fifo_write, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5357 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$4990_Y, Q = \u_Controller.Uart.write_response, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5356 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$4971_Y, Q = \u_Controller.Uart.rx_fifo_data_in, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$6090 ($sdff) from module processorci_top (D = \u_Controller.Uart.i_uart_rx.uart_rx_data, Q = \u_Controller.Uart.rx_fifo_data_in). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5355 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$4966_Y, Q = \u_Controller.Uart.rx_fifo_write, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5353 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$4961_Y, Q = \u_Controller.Uart.uart_tx_data, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$6093 ($sdff) from module processorci_top (D = \u_Controller.Uart.tx_fifo.read_data_o, Q = \u_Controller.Uart.uart_tx_data). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5352 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$4937_Y, Q = \u_Controller.Uart.tx_fifo_read, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Uart.$procdff$5351 ($dff) from module processorci_top (D = $flatten\u_Controller.\Uart.$procmux$4945_Y, Q = \u_Controller.Uart.uart_tx_en, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5344 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4424_Y, Q = \u_Controller.Interpreter.return_state, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$6101 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4424_Y, Q = \u_Controller.Interpreter.return_state). Adding EN signal on $flatten\u_Controller.\Interpreter.$procdff$5343 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4459_Y, Q = \u_Controller.Interpreter.temp_buffer). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5342 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4502_Y, Q = \u_Controller.Interpreter.accumulator, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$6118 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4502_Y [63:8], Q = \u_Controller.Interpreter.accumulator [63:8]). Adding EN signal on $auto$ff.cc:266:slice$6118 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4502_Y [7:0], Q = \u_Controller.Interpreter.accumulator [7:0]). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5341 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4512_Y, Q = \u_Controller.Interpreter.timeout_counter, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6133 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4512_Y, Q = \u_Controller.Interpreter.timeout_counter). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5340 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4547_Y, Q = \u_Controller.Interpreter.timeout, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6137 ($sdff) from module processorci_top (D = { 8'00000000 \u_Controller.Interpreter.communication_buffer [31:8] }, Q = \u_Controller.Interpreter.timeout). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5339 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4553_Y, Q = \u_Controller.Interpreter.read_buffer, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6139 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4553_Y, Q = \u_Controller.Interpreter.read_buffer). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5338 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4581_Y, Q = \u_Controller.Interpreter.communication_buffer, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6143 ($sdff) from module processorci_top (D = \u_Controller.Uart.read_data, Q = \u_Controller.Interpreter.communication_buffer). Adding EN signal on $flatten\u_Controller.\Interpreter.$procdff$5337 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4606_Y, Q = \u_Controller.Interpreter.num_of_positions). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5336 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4628_Y, Q = \u_Controller.Interpreter.num_of_pages, rval = 24'000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$6154 ($sdff) from module processorci_top (D = \u_Controller.Interpreter.communication_buffer [31:8], Q = \u_Controller.Interpreter.num_of_pages). Adding EN signal on $flatten\u_Controller.\Interpreter.$procdff$5335 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4651_Y, Q = \u_Controller.Interpreter.address). Adding EN signal on $flatten\u_Controller.\Interpreter.$procdff$5334 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4672_Y, Q = \u_Controller.Interpreter.memory_page_number). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5333 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4680_Y, Q = \u_Controller.Interpreter.memory_mux_selector, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6172 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4680_Y, Q = \u_Controller.Interpreter.memory_mux_selector). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5332 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4293_Y, Q = \u_Controller.Interpreter.memory_write, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5331 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4315_Y, Q = \u_Controller.Interpreter.memory_read, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5330 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4720_Y, Q = \u_Controller.Interpreter.end_position, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6178 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4720_Y, Q = \u_Controller.Interpreter.end_position). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5328 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4762_Y, Q = \u_Controller.Interpreter.bus_mode, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6182 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4762_Y, Q = \u_Controller.Interpreter.bus_mode). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5327 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4269_Y, Q = \u_Controller.Interpreter.reset_bus, rval = 1'1). Adding EN signal on $flatten\u_Controller.\Interpreter.$procdff$5326 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4773_Y, Q = \u_Controller.Interpreter.num_of_cycles_to_pulse). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5325 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4374_Y, Q = \u_Controller.Interpreter.core_reset, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5324 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4783_Y, Q = \u_Controller.Interpreter.core_clk_enable, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6195 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4783_Y, Q = \u_Controller.Interpreter.core_clk_enable). Adding EN signal on $flatten\u_Controller.\Interpreter.$procdff$5323 ($dff) from module processorci_top (D = \u_Controller.Interpreter.read_buffer, Q = \u_Controller.Interpreter.communication_write_data). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5322 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4393_Y, Q = \u_Controller.Interpreter.communication_write, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5321 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4416_Y, Q = \u_Controller.Interpreter.communication_read, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5320 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4326_Y, Q = \u_Controller.Interpreter.write_pulse, rval = 1'0). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5319 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4169_Y, Q = \u_Controller.Interpreter.state, rval = 8'00000000). Adding SRST signal on $flatten\u_Controller.\Interpreter.$procdff$5318 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4859_Y, Q = \u_Controller.Interpreter.counter, rval = 8'00000000). Adding EN signal on $auto$ff.cc:266:slice$6212 ($sdff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4859_Y, Q = \u_Controller.Interpreter.counter). Adding EN signal on $flatten\u_Controller.\Interpreter.$procdff$5317 ($dff) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4883_Y, Q = \u_Controller.Interpreter.write_data). Adding EN signal on $flatten\u_Controller.\ClkDivider.$procdff$5306 ($adff) from module processorci_top (D = $flatten\u_Controller.\ClkDivider.$0\pulse_counter[31:0], Q = \u_Controller.ClkDivider.pulse_counter). Adding SRST signal on $flatten\u_Controller.$procdff$5283 ($dff) from module processorci_top (D = $flatten\u_Controller.$procmux$3829_Y, Q = \u_Controller.finish_execution, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6230 ($sdff) from module processorci_top (D = $flatten\u_Controller.$procmux$3829_Y, Q = \u_Controller.finish_execution). Adding SRST signal on $flatten\ResetBootSystem.$procdff$5286 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$procmux$3838_Y, Q = \ResetBootSystem.state, rval = 2'00). Adding EN signal on $auto$ff.cc:266:slice$6238 ($sdff) from module processorci_top (D = $flatten\ResetBootSystem.$procmux$3838_Y, Q = \ResetBootSystem.state). Adding EN signal on $flatten\ResetBootSystem.$procdff$5285 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$procmux$3865_Y, Q = \ResetBootSystem.counter). Adding EN signal on $flatten\ResetBootSystem.$procdff$5284 ($dff) from module processorci_top (D = $flatten\ResetBootSystem.$procmux$3875_Y, Q = \ResetBootSystem.rst_o). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5268 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3318_Y, Q = \Processor.N1.Third_Stage.unaligned_access_o, rval = 1'0). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5267 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3411_Y, Q = \Processor.N1.Third_Stage.memory_operation_o). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5266 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y [7:0], Q = \Processor.N1.Third_Stage.Merged_Word_o [7:0]). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5266 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y [15:8], Q = \Processor.N1.Third_Stage.Merged_Word_o [15:8]). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5266 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y [31:16], Q = \Processor.N1.Third_Stage.Merged_Word_o [31:16]). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5265 ($dff) from module processorci_top (D = \Processor.N1.First_Stage.IMMEDIATE_i, Q = \Processor.N1.Third_Stage.IMMEDIATE_REG_o). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5264 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3468_Y, Q = \Processor.N1.Third_Stage.EXMEMPC_o, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6334 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3465_Y, Q = \Processor.N1.Third_Stage.EXMEMPC_o). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5263 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3480_Y, Q = \Processor.N1.Third_Stage.EXMEMIR_o, rval = 51). Adding EN signal on $auto$ff.cc:266:slice$6342 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3477_Y, Q = \Processor.N1.Third_Stage.EXMEMIR_o). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5262 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:279$320_Y, Q = \Processor.N1.Third_Stage.EXMEMALUOut_o). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5261 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3519_Y, Q = \Processor.N1.Third_Stage.Data_Address). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5260 ($dff) from module processorci_top (D = \Processor.N1.Fourth_Stage.read_data_i, Q = \Processor.N1.Third_Stage.Second_Word). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5259 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3546_Y, Q = \Processor.N1.Third_Stage.First_Word). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5257 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3617_Y, Q = \Processor.N1.Third_Stage.subword, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6388 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$logic_not$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:223$427_Y, Q = \Processor.N1.Third_Stage.subword). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5256 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3639_Y, Q = \Processor.N1.Third_Stage.unaligned_access_in_progress, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6398 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3639_Y, Q = \Processor.N1.Third_Stage.unaligned_access_in_progress). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5255 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3685_Y [7:0], Q = \Processor.N1.Third_Stage.EXMEM_mem_data_value [7:0]). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5255 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3685_Y [15:8], Q = \Processor.N1.Third_Stage.EXMEM_mem_data_value [15:8]). Adding EN signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5255 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3685_Y [31:16], Q = \Processor.N1.Third_Stage.EXMEM_mem_data_value [31:16]). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5254 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3703_Y, Q = \Processor.N1.Third_Stage.subword_store, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6467 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3703_Y, Q = \Processor.N1.Third_Stage.subword_store). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5253 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3382_Y, Q = \Processor.N1.Third_Stage.memory_write, rval = 1'0). Adding SRST signal on $flatten\Processor.\N1.\Third_Stage.$procdff$5252 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3360_Y, Q = \Processor.N1.Third_Stage.memory_read, rval = 1'0). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5233 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3090_Y, Q = \Processor.N1.Second_Stage.Mdu.divisor). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5232 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3101_Y, Q = \Processor.N1.Second_Stage.Mdu.DIV_RD). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5231 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3110_Y, Q = \Processor.N1.Second_Stage.Mdu.quociente_msk). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5230 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3123_Y, Q = \Processor.N1.Second_Stage.Mdu.quociente, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6504 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3123_Y, Q = \Processor.N1.Second_Stage.Mdu.quociente). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5229 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3136_Y, Q = \Processor.N1.Second_Stage.Mdu.dividendo). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5228 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3083_Y, Q = \Processor.N1.Second_Stage.Mdu.div_ready_o, rval = 1'0). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5227 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$or$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:223$435_Y, Q = \Processor.N1.Second_Stage.Mdu.negativo). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5225 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456_Y, Q = \Processor.N1.Second_Stage.Mdu.acumulador). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5224 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3043_Y, Q = \Processor.N1.Second_Stage.Mdu.Data_Y, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6536 ($sdff) from module processorci_top (D = \Processor.N1.Second_Stage.Mdu.MDU_RS2_i, Q = \Processor.N1.Second_Stage.Mdu.Data_Y). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5223 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3057_Y, Q = \Processor.N1.Second_Stage.Mdu.Data_X, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6540 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_data_in, Q = \Processor.N1.Second_Stage.Mdu.Data_X). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5221 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3063_Y, Q = \Processor.N1.Second_Stage.Mdu.MUL_RD, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6544 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:97$458_Y, Q = \Processor.N1.Second_Stage.Mdu.MUL_RD). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.\Mdu.$procdff$5220 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3023_Y, Q = \Processor.N1.Second_Stage.Mdu.mul_ready_o, rval = 1'0). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5176 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y, Q = \Processor.N1.Second_Stage.IDEXB). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5175 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y, Q = \Processor.N1.Second_Stage.IDEXA). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5174 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2032_Y, Q = \Processor.N1.Second_Stage.previous_instruction_is_lw, rval = 1'0). Adding EN signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5173 ($dff) from module processorci_top (D = \Processor.N1.Second_Stage.aluop_out, Q = \Processor.N1.Second_Stage.alu_op_o). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5171 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2039_Y, Q = \Processor.N1.Second_Stage.mdu_start, rval = 1'0). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5170 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2068_Y, Q = \Processor.N1.Second_Stage.mdu_operation_o, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6560 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2039_Y, Q = \Processor.N1.Second_Stage.mdu_operation_o). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5169 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2074_Y, Q = \Processor.N1.Second_Stage.IDEXPC_o, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6562 ($sdff) from module processorci_top (D = \Processor.N1.First_Stage.IFID_PC_o, Q = \Processor.N1.Second_Stage.IDEXPC_o). Adding SRST signal on $flatten\Processor.\N1.\Second_Stage.$procdff$5168 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.$procmux$2080_Y, Q = \Processor.N1.Second_Stage.IDEXIR_o, rval = 51). Adding EN signal on $auto$ff.cc:266:slice$6564 ($sdff) from module processorci_top (D = \Processor.N1.First_Stage.IFID_IR_o, Q = \Processor.N1.Second_Stage.IDEXIR_o). Adding SRST signal on $flatten\Processor.\N1.\Fourth_Stage.$procdff$5161 ($dff) from module processorci_top (D = \Processor.N1.Third_Stage.EXMEMIR_o, Q = \Processor.N1.Fourth_Stage.MEMWB_IR, rval = 51). Adding EN signal on $flatten\Processor.\N1.\Fourth_Stage.$procdff$5160 ($dff) from module processorci_top (D = \Processor.N1.Third_Stage.EXMEMALUOut_o, Q = \Processor.N1.Fourth_Stage.MEMWBALUOut). Adding EN signal on $flatten\Processor.\N1.\Fourth_Stage.$procdff$5159 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y, Q = \Processor.N1.Fourth_Stage.MEMWB_mem_read_data). Adding SRST signal on $flatten\Processor.\N1.\Fourth_Stage.$procdff$5158 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Fourth_Stage.$procmux$1979_Y, Q = \Processor.N1.Fourth_Stage.mem_to_reg, rval = 1'0). Adding SRST signal on $flatten\Processor.\N1.\Fourth_Stage.$procdff$5156 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\Fourth_Stage.$procmux$1984_Y, Q = \Processor.N1.Fourth_Stage.reg_wr_en_o, rval = 1'0). Adding SRST signal on $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$procdff$5140 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$2$lookahead\prediction$725[255:0]$769, Q = \Processor.N1.First_Stage.Branch_Prediction.prediction, rval = 256'0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$6571 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$2$lookahead\prediction$725[255:0]$769, Q = \Processor.N1.First_Stage.Branch_Prediction.prediction). Adding EN signal on $flatten\Processor.\N1.\First_Stage.$procdff$5203 ($dff) from module processorci_top (D = \Processor.N1.First_Stage.PC, Q = \Processor.N1.First_Stage.temp_pc). Adding EN signal on $flatten\Processor.\N1.\First_Stage.$procdff$5202 ($dff) from module processorci_top (D = { 16'0000000000000000 \Processor.N1.First_Stage.instruction_data_i [31:16] }, Q = \Processor.N1.First_Stage.temp_instruction). Adding SRST signal on $flatten\Processor.\N1.\First_Stage.$procdff$5200 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2731_Y, Q = \Processor.N1.First_Stage.finish_unaligned_pc, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6611 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2726_Y, Q = \Processor.N1.First_Stage.finish_unaligned_pc). Adding SRST signal on $flatten\Processor.\N1.\First_Stage.$procdff$5199 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2775_Y, Q = \Processor.N1.First_Stage.pc_is_unaligned, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6619 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2775_Y, Q = \Processor.N1.First_Stage.pc_is_unaligned). Adding SRST signal on $flatten\Processor.\N1.\First_Stage.$procdff$5196 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2810_Y, Q = \Processor.N1.First_Stage.PC, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6629 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2810_Y, Q = \Processor.N1.First_Stage.PC). Adding SRST signal on $flatten\Processor.\N1.\First_Stage.$procdff$5194 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2659_Y, Q = \Processor.N1.First_Stage.flush_bus_o, rval = 1'0). Adding SRST signal on $flatten\Processor.\N1.\First_Stage.$procdff$5193 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2828_Y, Q = \Processor.N1.First_Stage.IFID_IR_o, rval = 51). Adding EN signal on $auto$ff.cc:266:slice$6640 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2826_Y, Q = \Processor.N1.First_Stage.IFID_IR_o). Adding EN signal on $flatten\Processor.\N1.\First_Stage.$procdff$5192 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2870_Y, Q = \Processor.N1.First_Stage.IFID_PC_o). Adding SRST signal on $auto$ff.cc:266:slice$6644 ($dffe) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2858_Y, Q = \Processor.N1.First_Stage.IFID_PC_o, rval = 0). Adding SRST signal on $flatten\Processor.\N1.\First_Stage.$procdff$5191 ($dff) from module processorci_top (D = \Processor.N1.First_Stage.is_compressed_instruction, Q = \Processor.N1.First_Stage.IFID_is_compressed_instruction_o, rval = 1'0). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5282 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3800_Y, Q = \Processor.N1.CSR.MINSTRET_reg, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$6659 ($sdff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:143$239_Y, Q = \Processor.N1.CSR.MINSTRET_reg). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5281 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:141$238_Y, Q = \Processor.N1.CSR.MCYCLE_reg, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5280 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3727_Y, Q = \Processor.N1.CSR.MEPC_reg, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6662 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_write_data, Q = \Processor.N1.CSR.MEPC_reg). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5279 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3741_Y, Q = \Processor.N1.CSR.MSTATUS_reg, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6666 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_write_data, Q = \Processor.N1.CSR.MSTATUS_reg). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5278 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3750_Y, Q = \Processor.N1.CSR.MCAUSE_reg, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6670 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_write_data, Q = \Processor.N1.CSR.MCAUSE_reg). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5277 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3761_Y, Q = \Processor.N1.CSR.MSCRATCH_reg, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6674 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_write_data, Q = \Processor.N1.CSR.MSCRATCH_reg). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5276 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3773_Y, Q = \Processor.N1.CSR.MTVEC_reg, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6678 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_write_data, Q = \Processor.N1.CSR.MTVEC_reg). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5275 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3781_Y, Q = \Processor.N1.CSR.MIE_reg, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6682 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_write_data, Q = \Processor.N1.CSR.MIE_reg). Adding EN signal on $flatten\Processor.\N1.\CSR.$procdff$5274 ($dff) from module processorci_top (D = 0, Q = \Processor.N1.CSR.MIP_reg). Adding SRST signal on $flatten\Processor.\N1.\CSR.$procdff$5273 ($dff) from module processorci_top (D = $flatten\Processor.\N1.\CSR.$procmux$3792_Y, Q = \Processor.N1.CSR.MTVAL_reg, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6687 ($sdff) from module processorci_top (D = \Processor.N1.CSR.csr_write_data, Q = \Processor.N1.CSR.MTVAL_reg). Adding SRST signal on $flatten\Processor.\M1.$procdff$5188 ($dff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2474_Y, Q = \Processor.M1.d_cache_response, rval = 1'0). Adding SRST signal on $flatten\Processor.\M1.$procdff$5187 ($dff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2482_Y, Q = \Processor.M1.i_cache_response, rval = 1'0). Adding EN signal on $flatten\Processor.\M1.$procdff$5186 ($dff) from module processorci_top (D = \Processor.M1.memory_read_data, Q = \Processor.M1.d_cache_read_data). Adding EN signal on $flatten\Processor.\M1.$procdff$5185 ($dff) from module processorci_top (D = \Processor.M1.memory_read_data, Q = \Processor.M1.i_cache_read_data). Adding SRST signal on $flatten\Processor.\M1.$procdff$5184 ($dff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2512_Y, Q = \Processor.M1.access_pedding, rval = 1'0). Adding SRST signal on $flatten\Processor.\M1.$procdff$5183 ($dff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2522_Y, Q = \Processor.M1.response_out, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6714 ($sdff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2520_Y, Q = \Processor.M1.response_out). Adding SRST signal on $flatten\Processor.\M1.$procdff$5182 ($dff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2532_Y, Q = \Processor.M1.requested_memory_addr, rval = 0). Adding EN signal on $auto$ff.cc:266:slice$6722 ($sdff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2530_Y, Q = \Processor.M1.requested_memory_addr). Adding SRST signal on $flatten\Processor.\M1.$procdff$5181 ($dff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2544_Y, Q = \Processor.M1.write_request, rval = 1'0). Adding SRST signal on $flatten\Processor.\M1.$procdff$5180 ($dff) from module processorci_top (D = $flatten\Processor.\M1.$procmux$2556_Y, Q = \Processor.M1.read_request, rval = 1'0). Adding EN signal on $flatten\Processor.\M1.$procdff$5179 ($dff) from module processorci_top (D = \Processor.N1.Third_Stage.EXMEM_mem_data_value, Q = \Processor.M1.write_data). Adding SRST signal on $flatten\Processor.\ICache.$procdff$5210 ($dff) from module processorci_top (D = $flatten\Processor.\ICache.$procmux$2989_Y, Q = \Processor.ICache.clear_response, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6739 ($sdff) from module processorci_top (D = 1'1, Q = \Processor.ICache.clear_response). Adding SRST signal on $flatten\Processor.\ICache.$procdff$5209 ($dff) from module processorci_top (D = $flatten\Processor.\ICache.$procmux$2996_Y, Q = \Processor.ICache.request_to_memory, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6743 ($sdff) from module processorci_top (D = 1'1, Q = \Processor.ICache.request_to_memory). Adding SRST signal on $flatten\Processor.\ICache.$procdff$5207 ($dff) from module processorci_top (D = $flatten\Processor.\ICache.$2$lookahead\cache_valid$477[63:0]$516, Q = \Processor.ICache.cache_valid, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$6747 ($sdff) from module processorci_top (D = $flatten\Processor.\ICache.$or$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$524_Y, Q = \Processor.ICache.cache_valid). Adding SRST signal on $flatten\Processor.\DCache.$procdff$5236 ($dff) from module processorci_top (D = $flatten\Processor.\DCache.$procmux$3306_Y, Q = \Processor.DCache.write_through, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6749 ($sdff) from module processorci_top (D = 1'1, Q = \Processor.DCache.write_through). Adding SRST signal on $flatten\Processor.\DCache.$procdff$5235 ($dff) from module processorci_top (D = $flatten\Processor.\DCache.$procmux$3300_Y, Q = \Processor.DCache.miss_finished, rval = 1'0). Adding SRST signal on $flatten\Processor.\DCache.$procdff$5234 ($dff) from module processorci_top (D = $flatten\Processor.\DCache.$3$lookahead\cache_valid$346[63:0]$405, Q = \Processor.DCache.cache_valid, rval = 64'0000000000000000000000000000000000000000000000000000000000000000). Adding SRST signal on $flatten\Processor.$procdff$5178 ($dff) from module processorci_top (D = $flatten\Processor.$procmux$2388_Y, Q = \Processor.peripheral_wr_through, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6755 ($sdff) from module processorci_top (D = $flatten\Processor.$procmux$2388_Y, Q = \Processor.peripheral_wr_through). Adding SRST signal on $flatten\Processor.$procdff$5177 ($dff) from module processorci_top (D = $flatten\Processor.$procmux$2396_Y, Q = \Processor.peripheral_access_lock, rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6759 ($sdff) from module processorci_top (D = $flatten\Processor.$procmux$2396_Y, Q = \Processor.peripheral_access_lock). Setting constant 0-bit at position 0 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 3 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 4 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 5 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 6 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 8 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 9 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 10 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 11 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 12 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 13 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 14 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 15 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 16 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 17 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 18 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 19 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 20 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 21 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 22 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 23 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$6686 ($dffe) from module processorci_top. Setting constant 0-bit at position 16 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 17 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 18 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 19 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 20 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 21 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 22 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 23 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$6596 ($dffe) from module processorci_top. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$6138 ($sdffe) from module processorci_top. 34.13.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 303 unused cells and 400 unused wires. 34.13.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.13.9. Rerunning OPT passes. (Maybe there is more to do..) 34.13.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.13.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New input vector for $reduce_or cell $auto$opt_dff.cc:254:combine_resets$6018: { \ResetBootSystem.rst_o \u_Controller.Uart.i_uart_rx.fsm_state [3:2] \u_Controller.Uart.i_uart_rx.fsm_state [0] } New ctrl vector for $pmux cell $flatten\Processor.\N1.\CSR.$procmux$3806: { $auto$opt_reduce.cc:137:opt_pmux$5444 $auto$opt_reduce.cc:137:opt_pmux$5442 $auto$opt_reduce.cc:137:opt_pmux$5440 $auto$opt_reduce.cc:137:opt_pmux$5438 $flatten\Processor.\N1.\CSR.$procmux$3815_CMP $flatten\Processor.\N1.\CSR.$procmux$3740_CMP $flatten\Processor.\N1.\CSR.$procmux$3780_CMP $flatten\Processor.\N1.\CSR.$procmux$3772_CMP $flatten\Processor.\N1.\CSR.$procmux$3760_CMP $flatten\Processor.\N1.\CSR.$procmux$3726_CMP $flatten\Processor.\N1.\CSR.$procmux$3749_CMP $flatten\Processor.\N1.\CSR.$procmux$3791_CMP } Optimizing cells in module \processorci_top. Performed a total of 2 changes. 34.13.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 109 cells. 34.13.13. Executing OPT_DFF pass (perform DFF optimizations). 34.13.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1 unused cells and 110 unused wires. 34.13.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.13.16. Rerunning OPT passes. (Maybe there is more to do..) 34.13.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.13.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.13.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.13.20. Executing OPT_DFF pass (perform DFF optimizations). 34.13.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.13.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.13.23. Finished OPT passes. (There is nothing left to do.) 34.14. Executing WREDUCE pass (reducing word size of cells). Removed top 27 address bits (of 32) from memory init port processorci_top.$flatten\Processor.\N1.\RegisterBank.$auto$proc_memwr.cc:45:proc_memwr$5381 (Processor.N1.RegisterBank.registers). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5830 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6472 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6337 ($ne). Removed top 2 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6363 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6367 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6405 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6416 ($ne). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:78$1355 ($lt). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\u_Controller.\Uart.$lt$/eda/processor-ci-controller/modules/uart.sv:141$1360 ($lt). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1483 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:119$1482 ($mux). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:118$1481 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:117$1480 ($mux). Removed top 2 bits (of 10) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:110$1475 ($eq). Removed top 1 bits (of 10) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:108$1473 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:152$1449 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:137$1441 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:135$1439 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:133$1436 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ne$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:133$1435 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:120$1431 ($eq). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1426 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:102$1425 ($mux). Removed top 30 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:101$1424 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:100$1423 ($mux). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:94$1419 ($eq). Removed top 1 bits (of 10) from port B of cell processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$eq$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:92$1417 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$eq$/eda/processor-ci-controller/rtl/interpreter.sv:214$1377 ($eq). Removed top 6 bits (of 32) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:297$1380 ($add). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:359$1383 ($add). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\u_Controller.\Interpreter.$lt$/eda/processor-ci-controller/rtl/interpreter.sv:450$1390 ($lt). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\u_Controller.\Interpreter.$eq$/eda/processor-ci-controller/rtl/interpreter.sv:489$1394 ($eq). Removed top 8 bits (of 32) from port A of cell processorci_top.$flatten\u_Controller.\Interpreter.$ge$/eda/processor-ci-controller/rtl/interpreter.sv:506$1396 ($ge). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4170_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4171_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4173 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4175_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4176_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4177_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4178_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4179_CMP0 ($eq). Removed top 5 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4181 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4183_CMP0 ($eq). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4184_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4186 ($mux). Removed top 3 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4188_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4189_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4193_CMP0 ($eq). Removed top 6 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4194_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4195_CMP0 ($eq). Removed top 4 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4197 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4199_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4200_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4201_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4203 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4205_CMP0 ($eq). Removed top 4 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4207 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4209_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4210_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4211_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4212_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4213_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4214_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4215_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4217 ($mux). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4219_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4221 ($mux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4223_CMP0 ($eq). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4224_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4226 ($mux). Removed top 4 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4228_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4229_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4232_CMP0 ($eq). Removed top 1 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4231 ($pmux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4233_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4234_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4235_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4236_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4237_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4238_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4239_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4240_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4241_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4242_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4243_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4244_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4245_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4246_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4247_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4248_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4249_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4250_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4251_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4252_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4253_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4254_CMP0 ($eq). Removed top 6 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4255_CMP0 ($eq). Removed top 6 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4257 ($mux). Removed top 7 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4259_CMP0 ($eq). Removed top 7 bits (of 8) from mux cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4261 ($mux). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4295_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4296_CMP0 ($eq). Removed top 5 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4297_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4330_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4503_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4504_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4505_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4548_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4688_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4721_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4722_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4795_CMP0 ($eq). Removed top 1 bits (of 8) from port B of cell processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4796_CMP0 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\u_Controller.\ClkDivider.$gt$/eda/processor-ci-controller/rtl/clk_divider.sv:53$1414 ($gt). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\u_Controller.\ClkDivider.$sub$/eda/processor-ci-controller/rtl/clk_divider.sv:54$1415 ($sub). Removed top 19 bits (of 32) from mux cell processorci_top.$flatten\u_Controller.$ternary$/eda/processor-ci-controller/rtl/controller.sv:126$1323 ($mux). Removed top 2 bits (of 5) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5654 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5855 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5804 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5841 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5876 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5884 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5888 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5912 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5920 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5959 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5756 ($eq). Removed top 3 bits (of 4) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6294 ($ne). Removed top 4 bits (of 5) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6284 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6275 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6252 ($ne). Removed top 2 bits (of 3) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6227 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6243 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6235 ($ne). Removed top 1 bits (of 3) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5745 ($eq). Removed top 25 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\DCache.$shiftx$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:0$338 ($shiftx). Removed top 26 bits (of 33) from port A of cell processorci_top.$flatten\Processor.\DCache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:0$391 ($neg). Converting cell processorci_top.$flatten\Processor.\DCache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:0$391 ($neg) from signed to unsigned. Removed top 1 bits (of 7) from port A of cell processorci_top.$flatten\Processor.\DCache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:0$391 ($neg). Removed top 25 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\ICache.$shiftx$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$470 ($shiftx). Removed top 26 bits (of 33) from port A of cell processorci_top.$flatten\Processor.\ICache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$518 ($neg). Converting cell processorci_top.$flatten\Processor.\ICache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$518 ($neg) from signed to unsigned. Removed top 1 bits (of 7) from port A of cell processorci_top.$flatten\Processor.\ICache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$518 ($neg). Removed top 2 bits (of 5) from port B of cell processorci_top.$auto$fsm_map.cc:77:implement_pattern_cache$5624 ($eq). Removed top 29 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:144$640 ($mux). Removed top 24 bits (of 32) from port A of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$722 ($add). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$722 ($add). Removed top 23 bits (of 32) from port Y of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$722 ($add). Removed top 22 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$shiftx$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$723 ($shiftx). Removed top 23 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$shiftx$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$777 ($shiftx). Removed top 24 bits (of 33) from port A of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$782 ($neg). Converting cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$782 ($neg) from signed to unsigned. Removed top 1 bits (of 9) from port A of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$782 ($neg). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$788 ($add). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$804 ($sub). Removed top 23 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$shiftx$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$839 ($shiftx). Removed top 24 bits (of 33) from port A of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$844 ($neg). Converting cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$844 ($neg) from signed to unsigned. Removed top 1 bits (of 9) from port A of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$844 ($neg). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$850 ($add). Removed top 1 bits (of 4) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6576 ($ne). Removed top 3 bits (of 5) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/ir_decomp.sv:104$628 ($eq). Removed top 11 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2104 ($mux). Removed top 7 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2120 ($mux). Removed top 7 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2150 ($mux). Removed top 7 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2185 ($mux). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2199_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2216_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2216_CMP1 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2237_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2238_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2239_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2246_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2271_CMP1 ($eq). Removed top 3 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2314 ($mux). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583 ($add). Removed top 30 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582 ($add). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:96$563 ($add). Removed top 2 bits (of 6) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6624 ($ne). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Mdu.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:233$436 ($eq). Removed top 31 bits (of 63) from port Y of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Mdu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:241$438 ($sub). Removed top 31 bits (of 63) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Mdu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:241$438 ($sub). Removed top 32 bits (of 64) from port A of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456 ($mul). Removed top 32 bits (of 64) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456 ($mul). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\ForwardBMUX.$procmux$3009_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\ForwardAMUX.$procmux$3009_CMP0 ($eq). Removed top 1 bits (of 2) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$procmux$2882 ($mux). Removed top 1 bits (of 2) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$procmux$2889 ($mux). Removed top 1 bits (of 2) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$procmux$2897 ($mux). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$ne$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:26$856 ($ne). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:36$867 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:39$869 ($mux). Removed top 31 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:41$873 ($mux). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1536_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1537_CMP0 ($eq). Removed top 1 bits (of 4) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1538_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1543_CMP0 ($eq). Removed top 2 bits (of 4) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1547_CMP0 ($eq). Removed top 3 bits (of 4) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1548_CMP0 ($eq). Removed top 2 bits (of 4) from mux cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:47$683 ($mux). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$procmux$2010_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$procmux$2011_CMP0 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$procmux$2012_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$procmux$2026_CMP0 ($eq). Removed top 20 bits (of 32) from FF cell processorci_top.$auto$ff.cc:266:slice$6566 ($sdff). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2095_CMP4 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2095_CMP3 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2095_CMP2 ($eq). Removed top 5 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2095_CMP1 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2095_CMP0 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2087_CMP3 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2087_CMP2 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2087_CMP1 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$procmux$2087_CMP0 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:104$676 ($eq). Removed top 5 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Second_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:97$675 ($eq). Removed top 24 bits (of 32) from FF cell processorci_top.$auto$ff.cc:266:slice$6335 ($sdffe). Removed top 17 bits (of 32) from FF cell processorci_top.$auto$ff.cc:266:slice$6343 ($sdffe). Removed top 16 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3664 ($mux). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3660_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3659_CMP0 ($eq). Removed top 1 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3658_CMP0 ($eq). Removed top 17 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3477 ($mux). Removed top 17 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3474 ($mux). Removed top 24 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3465 ($mux). Removed top 24 bits (of 32) from mux cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3462 ($mux). Removed top 3 bits (of 4) from port B of cell processorci_top.$auto$opt_dff.cc:195:make_patterns_logic$6626 ($ne). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:129$283 ($eq). Removed top 29 bits (of 32) from port B of cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:118$282 ($add). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Third_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:106$281 ($eq). Removed top 2 bits (of 3) from port B of cell processorci_top.$flatten\Processor.\N1.\Fourth_Stage.$procmux$2002_CMP0 ($eq). Removed top 5 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:59$704 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:58$702 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:56$695 ($eq). Removed top 2 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:55$693 ($eq). Removed top 1 bits (of 7) from port B of cell processorci_top.$flatten\Processor.\N1.\Fourth_Stage.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:54$692 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3815_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3791_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3780_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3779_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3772_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3760_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3749_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3740_CMP0 ($eq). Removed top 2 bits (of 12) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3726_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\Processor.\N1.\CSR.$procmux$3721_CMP0 ($eq). Removed top 1 bits (of 2) from port B of cell processorci_top.$flatten\ResetBootSystem.$procmux$3849_CMP0 ($eq). Removed top 1 bits (of 6) from port B of cell processorci_top.$flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/rtl/reset.sv:45$1309 ($eq). Removed top 31 bits (of 32) from port B of cell processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/rtl/reset.sv:44$1308 ($add). Removed top 26 bits (of 32) from port Y of cell processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/rtl/reset.sv:44$1308 ($add). Removed top 27 bits (of 32) from port B of cell processorci_top.$flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/rtl/reset.sv:43$1307 ($lt). Removed top 23 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$722_Y. Removed top 7 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$10\instr_d_o[31:0]. Removed top 11 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$11\instr_d_o[31:0]. Removed top 7 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$8\instr_d_o[31:0]. Removed top 29 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:144$640_Y. Removed top 2 bits (of 4) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:47$683_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:36$867_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:39$869_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Alu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:41$873_Y. Removed top 1 bits (of 2) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$2\fwd_rs1_o[1:0]. Removed top 1 bits (of 2) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$3\fwd_rs1_o[1:0]. Removed top 1 bits (of 2) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Forwarding_Unit.$3\fwd_rs2_o[1:0]. Removed top 31 bits (of 63) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Mdu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:241$438_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Second_Stage.\Mdu.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:222$425_Y. Removed top 24 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3462_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3465_Y. Removed top 18 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3474_Y. Removed top 20 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3477_Y. Removed top 1 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3498_Y. Removed top 5 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3501_Y. Removed top 5 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3512_Y. Removed top 5 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3514_Y. Removed top 4 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3519_Y. Removed top 4 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3546_Y. Removed top 4 bits (of 32) from wire processorci_top.$flatten\Processor.\N1.\Third_Stage.$procmux$3548_Y. Removed top 26 bits (of 32) from wire processorci_top.$flatten\ResetBootSystem.$add$/eda/processor-ci-controller/rtl/reset.sv:44$1308_Y. Removed top 7 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4173_Y. Removed top 5 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4181_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4186_Y. Removed top 4 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4197_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4203_Y. Removed top 4 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4207_Y. Removed top 7 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4217_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4221_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4226_Y. Removed top 1 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4231_Y. Removed top 6 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4257_Y. Removed top 7 bits (of 8) from wire processorci_top.$flatten\u_Controller.\Interpreter.$procmux$4261_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:117$1480_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:118$1481_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:119$1482_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1483_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:100$1423_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:101$1424_Y. Removed top 31 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:102$1425_Y. Removed top 30 bits (of 32) from wire processorci_top.$flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1426_Y. 34.15. Executing PEEPOPT pass (run peephole optimizers). shiftadd pattern in processorci_top: shift=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$shiftx$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$723, add/sub=$flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$722, offset: 1 34.16. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 1 unused cells and 47 unused wires. 34.17. Executing SHARE pass (SAT-based resource sharing). Found 8 cells in module processorci_top that may be considered for resource sharing. Analyzing resource sharing options for $flatten\u_Controller.\Core_Memory.$memrd$\memory$/eda/processor-ci-controller/rtl/memory.sv:29$1340 ($memrd): Found 2 activation_patterns using ctrl signal { $flatten\u_Controller.\Interpreter.$procmux$4213_CMP \u_Controller.Interpreter.address [31] \u_Controller.Interpreter.memory_mux_selector $flatten\u_Controller.\Core_Memory.$logic_and$/eda/processor-ci-controller/rtl/memory.sv:29$1339_Y }. No candidates found. Analyzing resource sharing options for $flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878 ($sshr): Found 1 activation_patterns using ctrl signal $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1536_CMP. No candidates found. Analyzing resource sharing options for $flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877 ($shr): Found 1 activation_patterns using ctrl signal $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1537_CMP. No candidates found. Analyzing resource sharing options for $flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876 ($shl): Found 1 activation_patterns using ctrl signal $flatten\Processor.\N1.\Second_Stage.\Alu.$procmux$1538_CMP. No candidates found. Analyzing resource sharing options for $flatten\Processor.\N1.\RegisterBank.$memrd$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:20$260 ($memrd): Found 1 activation_patterns using ctrl signal { $flatten\Processor.\N1.\RegisterBank.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:18$259_Y $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:154$578_Y }. Found 1 candidates: $flatten\Processor.\N1.\RegisterBank.$memrd$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:16$254 Analyzing resource sharing with $flatten\Processor.\N1.\RegisterBank.$memrd$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:16$254 ($memrd): Found 1 activation_patterns using ctrl signal { $flatten\Processor.\N1.\RegisterBank.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:14$253_Y $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:154$578_Y }. Activation pattern for cell $flatten\Processor.\N1.\RegisterBank.$memrd$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:20$260: { $flatten\Processor.\N1.\RegisterBank.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:18$259_Y $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:154$578_Y } = 2'01 Activation pattern for cell $flatten\Processor.\N1.\RegisterBank.$memrd$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:16$254: { $flatten\Processor.\N1.\RegisterBank.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:14$253_Y $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:154$578_Y } = 2'01 Size of SAT problem: 0 cells, 561 variables, 1328 clauses According to the SAT solver this pair of cells can not be shared. Model from SAT solver: { $flatten\Processor.\N1.\RegisterBank.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:14$253_Y $flatten\Processor.\N1.\RegisterBank.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:18$259_Y $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:154$578_Y } = 3'001 Analyzing resource sharing options for $flatten\Processor.\N1.\RegisterBank.$memrd$\registers$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:16$254 ($memrd): Found 1 activation_patterns using ctrl signal { $flatten\Processor.\N1.\RegisterBank.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/registers.sv:14$253_Y $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:154$578_Y }. No candidates found. Analyzing resource sharing options for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$memrd$\address_to_jump$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:82$720 ($memrd): Found 1 activation_patterns using ctrl signal { \Processor.N1.Second_Stage.branch_flush_i \Processor.N1.Second_Stage.take_jalr_o \Processor.N1.First_Stage.pc_is_unaligned \Processor.N1.First_Stage.finish_unaligned_pc \Processor.N1.First_Stage.take_jal_o $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:151$575_Y $flatten\Processor.\N1.\First_Stage.$logic_and$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:154$580_Y \Processor.N1.First_Stage.Branch_Prediction.prediction_taken_o }. No candidates found. Analyzing resource sharing options for $flatten\Processor.\DCache.$memrd$\cache_data$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:79$345 ($memrd): Found 1 activation_patterns using ctrl signal \Processor.N1.Third_Stage.Data_Address [31]. No candidates found. 34.18. Executing TECHMAP pass (map to technology primitives). 34.18.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/cmp2lut.v Parsing Verilog input from `/usr/local/share/synlig/cmp2lut.v' to AST representation. Generating RTLIL representation for module `\_90_lut_cmp_'. Successfully finished Verilog frontend. 34.18.2. Continuing TECHMAP pass. Using template $paramod$141b0f41d8ab4b936f42c52ead39f1f9a7c93200\_90_lut_cmp_ for cells of type $lt. No more expansions possible. 34.19. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.20. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 6 unused wires. 34.21. Executing TECHMAP pass (map to technology primitives). 34.21.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/mul2dsp.v Parsing Verilog input from `/usr/local/share/synlig/mul2dsp.v' to AST representation. Generating RTLIL representation for module `\_80_mul'. Generating RTLIL representation for module `\_90_soft_mul'. Successfully finished Verilog frontend. 34.21.2. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/dsp_map.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/dsp_map.v' to AST representation. Generating RTLIL representation for module `\$__MUL18X18'. Successfully finished Verilog frontend. 34.21.3. Continuing TECHMAP pass. Using template $paramod$e88c2150f27e199b5b4c38f191932e407250eaa3\_80_mul for cells of type $mul. Using template $paramod$fac210dc6e441ade6153a47dcf32d681f9d41bee\_80_mul for cells of type $__mul. Using template $paramod$de927ffa49f2a1327665483e9418148a52f3d36b\_80_mul for cells of type $__mul. Using template $paramod$84e4af21b083f56ce59bb3210f4da5751fbe9bb3\_80_mul for cells of type $__mul. Using template $paramod$0c59eac522c8fc6cf582c390b8c4bd5bae1bb887\_80_mul for cells of type $__mul. Using template $paramod$f84b7e774a64cf6bd61391522b3eee9d216e6e7e\_80_mul for cells of type $__mul. Using template $paramod$ba1b36458f074a6329f9cad9c8b71be8774bccea\_80_mul for cells of type $__mul. Using template $paramod$ab0a030b3329c9db46a487d220064a2a8467942a\$__MUL18X18 for cells of type $__MUL18X18. Using template $paramod$7c1afd677c664a6f211892c24ab4c74153b5be67\$__MUL18X18 for cells of type $__MUL18X18. Using template $paramod$bef2a6330e4e8c17c10f220fb2d17af741212f04\$__MUL18X18 for cells of type $__MUL18X18. Using template $paramod$e5ade21dea2c4d51df0cdca72b2a93a08fd8e7d1\$__MUL18X18 for cells of type $__MUL18X18. No more expansions possible. 34.22. Executing ALUMACC pass (create $alu and $macc cells). Extracting $alu and $macc cells in module processorci_top: creating $macc model for $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/local/share/synlig/mul2dsp.v:230$6823 ($add). creating $macc model for $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last.$add$/usr/local/share/synlig/mul2dsp.v:230$6820 ($add). creating $macc model for $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.$add$/usr/local/share/synlig/mul2dsp.v:173$6817 ($add). creating $macc model for $flatten\Processor.\DCache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:0$391 ($neg). creating $macc model for $flatten\Processor.\ICache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$518 ($neg). creating $macc model for $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:141$238 ($add). creating $macc model for $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:143$239 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:90$556 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:93$560 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:96$563 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$788 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$850 ($add). creating $macc model for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$782 ($neg). creating $macc model for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$844 ($neg). creating $macc model for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$804 ($sub). creating $macc model for $flatten\Processor.\N1.\Second_Stage.\Alu.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:32$861 ($add). creating $macc model for $flatten\Processor.\N1.\Second_Stage.\Alu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:33$862 ($sub). creating $macc model for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:221$420 ($neg). creating $macc model for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:222$424 ($neg). creating $macc model for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:254$444 ($neg). creating $macc model for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:256$446 ($neg). creating $macc model for $flatten\Processor.\N1.\Second_Stage.\Mdu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:241$438 ($sub). creating $macc model for $flatten\Processor.\N1.\Third_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:118$282 ($add). creating $macc model for $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/rtl/reset.sv:44$1308 ($add). creating $macc model for $flatten\u_Controller.\ClkDivider.$sub$/eda/processor-ci-controller/rtl/clk_divider.sv:54$1415 ($sub). creating $macc model for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:213$1376 ($add). creating $macc model for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:275$1379 ($add). creating $macc model for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:297$1380 ($add). creating $macc model for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:359$1383 ($add). creating $macc model for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:449$1388 ($add). creating $macc model for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:459$1392 ($add). creating $macc model for $flatten\u_Controller.\Interpreter.$sub$/eda/processor-ci-controller/rtl/interpreter.sv:356$1382 ($sub). creating $macc model for $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:145$1362 ($add). creating $macc model for $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:81$1357 ($add). creating $macc model for $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1494 ($add). creating $macc model for $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1505 ($add). creating $macc model for $flatten\u_Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1443 ($add). creating $macc model for $flatten\u_Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1454 ($add). creating $macc model for $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205 ($add). creating $macc model for $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219 ($add). creating $macc model for $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205 ($add). creating $macc model for $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219 ($add). creating $alu model for $macc $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219. creating $alu model for $macc $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205. creating $alu model for $macc $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219. creating $alu model for $macc $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205. creating $alu model for $macc $flatten\u_Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1454. creating $alu model for $macc $flatten\u_Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1443. creating $alu model for $macc $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1505. creating $alu model for $macc $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1494. creating $alu model for $macc $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:81$1357. creating $alu model for $macc $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:145$1362. creating $alu model for $macc $flatten\u_Controller.\Interpreter.$sub$/eda/processor-ci-controller/rtl/interpreter.sv:356$1382. creating $alu model for $macc $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:459$1392. creating $alu model for $macc $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:449$1388. creating $alu model for $macc $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:359$1383. creating $alu model for $macc $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:297$1380. creating $alu model for $macc $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:275$1379. creating $alu model for $macc $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:213$1376. creating $alu model for $macc $flatten\u_Controller.\ClkDivider.$sub$/eda/processor-ci-controller/rtl/clk_divider.sv:54$1415. creating $alu model for $macc $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/rtl/reset.sv:44$1308. creating $alu model for $macc $flatten\Processor.\N1.\Third_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:118$282. creating $alu model for $macc $flatten\Processor.\N1.\Second_Stage.\Mdu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:241$438. creating $alu model for $macc $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:256$446. creating $alu model for $macc $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:254$444. creating $alu model for $macc $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:222$424. creating $alu model for $macc $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:221$420. creating $alu model for $macc $flatten\Processor.\N1.\Second_Stage.\Alu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:33$862. creating $alu model for $macc $flatten\Processor.\N1.\Second_Stage.\Alu.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:32$861. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$804. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$844. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$782. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$850. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$788. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:96$563. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:93$560. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:90$556. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583. creating $alu model for $macc $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582. creating $alu model for $macc $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:143$239. creating $alu model for $macc $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:141$238. creating $alu model for $macc $flatten\Processor.\ICache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$518. creating $alu model for $macc $flatten\Processor.\DCache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:0$391. creating $alu model for $macc $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.$add$/usr/local/share/synlig/mul2dsp.v:173$6817. creating $alu model for $macc $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last.$add$/usr/local/share/synlig/mul2dsp.v:230$6820. creating $alu model for $macc $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/local/share/synlig/mul2dsp.v:230$6823. creating $alu model for $flatten\Processor.\N1.\Second_Stage.\Alu.$ge$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:41$872 ($ge): merged with $flatten\Processor.\N1.\Second_Stage.\Alu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:33$862. creating $alu model for $flatten\Processor.\N1.\Second_Stage.\Alu.$lt$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:39$868 ($lt): merged with $flatten\Processor.\N1.\Second_Stage.\Alu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:33$862. creating $alu model for $flatten\Processor.\N1.\Second_Stage.\Mdu.$le$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:240$437 ($le): new $alu creating $alu model for $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/rtl/reset.sv:43$1307 ($lt): new $alu creating $alu model for $flatten\u_Controller.\ClkDivider.$gt$/eda/processor-ci-controller/rtl/clk_divider.sv:53$1414 ($gt): new $alu creating $alu model for $flatten\u_Controller.\Interpreter.$ge$/eda/processor-ci-controller/rtl/interpreter.sv:506$1396 ($ge): new $alu creating $alu model for $flatten\u_Controller.\Interpreter.$lt$/eda/processor-ci-controller/rtl/interpreter.sv:450$1390 ($lt): merged with $flatten\u_Controller.\Interpreter.$ge$/eda/processor-ci-controller/rtl/interpreter.sv:506$1396. creating $alu model for $flatten\Processor.\N1.\Second_Stage.\Alu.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:36$866 ($eq): merged with $flatten\Processor.\N1.\Second_Stage.\Alu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:33$862. creating $alu model for $flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/rtl/reset.sv:45$1309 ($eq): merged with $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/rtl/reset.sv:43$1307. creating $alu model for $flatten\u_Controller.\Interpreter.$eq$/eda/processor-ci-controller/rtl/interpreter.sv:489$1394 ($eq): merged with $flatten\u_Controller.\Interpreter.$ge$/eda/processor-ci-controller/rtl/interpreter.sv:506$1396. creating $alu cell for $flatten\u_Controller.\Interpreter.$ge$/eda/processor-ci-controller/rtl/interpreter.sv:506$1396, $flatten\u_Controller.\Interpreter.$lt$/eda/processor-ci-controller/rtl/interpreter.sv:450$1390, $flatten\u_Controller.\Interpreter.$eq$/eda/processor-ci-controller/rtl/interpreter.sv:489$1394: $auto$alumacc.cc:485:replace_alu$6836 creating $alu cell for $flatten\u_Controller.\ClkDivider.$gt$/eda/processor-ci-controller/rtl/clk_divider.sv:53$1414: $auto$alumacc.cc:485:replace_alu$6849 creating $alu cell for $flatten\ResetBootSystem.$lt$/eda/processor-ci-controller/rtl/reset.sv:43$1307, $flatten\ResetBootSystem.$eq$/eda/processor-ci-controller/rtl/reset.sv:45$1309: $auto$alumacc.cc:485:replace_alu$6854 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Mdu.$le$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:240$437: $auto$alumacc.cc:485:replace_alu$6865 creating $alu cell for $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA[0].mul.$add$/usr/local/share/synlig/mul2dsp.v:230$6823: $auto$alumacc.cc:485:replace_alu$6878 creating $alu cell for $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.genblk1.genblk1.genblk1.genblk1.genblk1.sliceA.last.$add$/usr/local/share/synlig/mul2dsp.v:230$6820: $auto$alumacc.cc:485:replace_alu$6881 creating $alu cell for $techmap$flatten\Processor.\N1.\Second_Stage.\Mdu.$mul$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:91$456.$add$/usr/local/share/synlig/mul2dsp.v:173$6817: $auto$alumacc.cc:485:replace_alu$6884 creating $alu cell for $flatten\Processor.\DCache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/d_cache.sv:0$391: $auto$alumacc.cc:485:replace_alu$6887 creating $alu cell for $flatten\Processor.\ICache.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/i_cache.sv:0$518: $auto$alumacc.cc:485:replace_alu$6890 creating $alu cell for $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:141$238: $auto$alumacc.cc:485:replace_alu$6893 creating $alu cell for $flatten\Processor.\N1.\CSR.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/csr_unit.sv:143$239: $auto$alumacc.cc:485:replace_alu$6896 creating $alu cell for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582: $auto$alumacc.cc:485:replace_alu$6899 creating $alu cell for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583: $auto$alumacc.cc:485:replace_alu$6902 creating $alu cell for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:90$556: $auto$alumacc.cc:485:replace_alu$6905 creating $alu cell for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:93$560: $auto$alumacc.cc:485:replace_alu$6908 creating $alu cell for $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:96$563: $auto$alumacc.cc:485:replace_alu$6911 creating $alu cell for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:61$788: $auto$alumacc.cc:485:replace_alu$6914 creating $alu cell for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:76$850: $auto$alumacc.cc:485:replace_alu$6917 creating $alu cell for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$782: $auto$alumacc.cc:485:replace_alu$6920 creating $alu cell for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:0$844: $auto$alumacc.cc:485:replace_alu$6923 creating $alu cell for $flatten\Processor.\N1.\First_Stage.\Branch_Prediction.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/branch_prediction.sv:65$804: $auto$alumacc.cc:485:replace_alu$6926 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Alu.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:32$861: $auto$alumacc.cc:485:replace_alu$6929 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Alu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:33$862, $flatten\Processor.\N1.\Second_Stage.\Alu.$ge$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:41$872, $flatten\Processor.\N1.\Second_Stage.\Alu.$lt$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:39$868, $flatten\Processor.\N1.\Second_Stage.\Alu.$eq$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:36$866: $auto$alumacc.cc:485:replace_alu$6932 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:221$420: $auto$alumacc.cc:485:replace_alu$6945 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:222$424: $auto$alumacc.cc:485:replace_alu$6948 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:254$444: $auto$alumacc.cc:485:replace_alu$6951 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Mdu.$neg$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:256$446: $auto$alumacc.cc:485:replace_alu$6954 creating $alu cell for $flatten\Processor.\N1.\Second_Stage.\Mdu.$sub$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/mdu.sv:241$438: $auto$alumacc.cc:485:replace_alu$6957 creating $alu cell for $flatten\Processor.\N1.\Third_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/EXMEM.sv:118$282: $auto$alumacc.cc:485:replace_alu$6960 creating $alu cell for $flatten\ResetBootSystem.$add$/eda/processor-ci-controller/rtl/reset.sv:44$1308: $auto$alumacc.cc:485:replace_alu$6963 creating $alu cell for $flatten\u_Controller.\ClkDivider.$sub$/eda/processor-ci-controller/rtl/clk_divider.sv:54$1415: $auto$alumacc.cc:485:replace_alu$6966 creating $alu cell for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:213$1376: $auto$alumacc.cc:485:replace_alu$6969 creating $alu cell for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:275$1379: $auto$alumacc.cc:485:replace_alu$6972 creating $alu cell for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:297$1380: $auto$alumacc.cc:485:replace_alu$6975 creating $alu cell for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:359$1383: $auto$alumacc.cc:485:replace_alu$6978 creating $alu cell for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:449$1388: $auto$alumacc.cc:485:replace_alu$6981 creating $alu cell for $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:459$1392: $auto$alumacc.cc:485:replace_alu$6984 creating $alu cell for $flatten\u_Controller.\Interpreter.$sub$/eda/processor-ci-controller/rtl/interpreter.sv:356$1382: $auto$alumacc.cc:485:replace_alu$6987 creating $alu cell for $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:145$1362: $auto$alumacc.cc:485:replace_alu$6990 creating $alu cell for $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:81$1357: $auto$alumacc.cc:485:replace_alu$6993 creating $alu cell for $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:153$1494: $auto$alumacc.cc:485:replace_alu$6996 creating $alu cell for $flatten\u_Controller.\Uart.\i_uart_rx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:178$1505: $auto$alumacc.cc:485:replace_alu$6999 creating $alu cell for $flatten\u_Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:138$1443: $auto$alumacc.cc:485:replace_alu$7002 creating $alu cell for $flatten\u_Controller.\Uart.\i_uart_tx.$add$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:155$1454: $auto$alumacc.cc:485:replace_alu$7005 creating $alu cell for $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205: $auto$alumacc.cc:485:replace_alu$7008 creating $alu cell for $flatten\u_Controller.\Uart.\rx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219: $auto$alumacc.cc:485:replace_alu$7011 creating $alu cell for $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:34$1205: $auto$alumacc.cc:485:replace_alu$7014 creating $alu cell for $flatten\u_Controller.\Uart.\tx_fifo.$add$/eda/processor-ci-controller/rtl/fifo.sv:44$1219: $auto$alumacc.cc:485:replace_alu$7017 created 48 $alu and 0 $macc cells. 34.23. Executing OPT pass (performing simple optimizations). 34.23.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.23.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.23.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.23.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.23.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 34.23.6. Executing OPT_DFF pass (perform DFF optimizations). 34.23.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 63 unused wires. 34.23.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.23.9. Rerunning OPT passes. (Maybe there is more to do..) 34.23.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.23.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.23.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.23.13. Executing OPT_DFF pass (perform DFF optimizations). 34.23.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.23.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.23.16. Finished OPT passes. (There is nothing left to do.) 34.24. Executing MEMORY pass. 34.24.1. Executing OPT_MEM pass (optimize memories). Performed a total of 0 transformations. 34.24.2. Executing OPT_MEM_PRIORITY pass (removing unnecessary memory write priority relations). Performed a total of 0 transformations. 34.24.3. Executing OPT_MEM_FEEDBACK pass (finding memory read-to-write feedback paths). Analyzing processorci_top.Processor.DCache.cache_data write port 0. Analyzing processorci_top.Processor.DCache.cache_data write port 1. Analyzing processorci_top.Processor.DCache.cache_tag write port 0. Analyzing processorci_top.Processor.DCache.cache_tag write port 1. Analyzing processorci_top.Processor.ICache.cache_data write port 0. Analyzing processorci_top.Processor.ICache.cache_tag write port 0. Analyzing processorci_top.Processor.N1.First_Stage.Branch_Prediction.address_to_jump write port 0. Analyzing processorci_top.Processor.N1.First_Stage.Branch_Prediction.address_to_jump write port 1. Analyzing processorci_top.Processor.N1.First_Stage.Branch_Prediction.address_to_jump write port 2. Analyzing processorci_top.Processor.N1.RegisterBank.registers write port 0. Analyzing processorci_top.Processor.N1.RegisterBank.registers write port 1. Analyzing processorci_top.u_Controller.Core_Memory.memory write port 0. Analyzing processorci_top.u_Controller.Uart.rx_fifo.memory write port 0. Analyzing processorci_top.u_Controller.Uart.tx_fifo.memory write port 0. 34.24.4. Executing MEMORY_BMUX2ROM pass (converting muxes to ROMs). 34.24.5. Executing MEMORY_DFF pass (merging $dff cells to $memrd). Checking read port `\Processor.DCache.cache_data'[0] in module `\processorci_top': no output FF found. Checking read port `\Processor.DCache.cache_tag'[0] in module `\processorci_top': no output FF found. Checking read port `\Processor.ICache.cache_data'[0] in module `\processorci_top': no output FF found. Checking read port `\Processor.ICache.cache_tag'[0] in module `\processorci_top': no output FF found. Checking read port `\Processor.N1.First_Stage.Branch_Prediction.address_to_jump'[0] in module `\processorci_top': no output FF found. Checking read port `\Processor.N1.RegisterBank.registers'[0] in module `\processorci_top': FF found, but with a mux select that doesn't seem to correspond to transparency logic. Checking read port `\Processor.N1.RegisterBank.registers'[1] in module `\processorci_top': FF found, but with a mux select that doesn't seem to correspond to transparency logic. Checking read port `\u_Controller.Core_Memory.memory'[0] in module `\processorci_top': no output FF found. Checking read port `\u_Controller.Uart.rx_fifo.memory'[0] in module `\processorci_top': merging output FF to cell. Write port 0: don't care on collision. Checking read port `\u_Controller.Uart.tx_fifo.memory'[0] in module `\processorci_top': merging output FF to cell. Write port 0: don't care on collision. Checking read port address `\Processor.DCache.cache_data'[0] in module `\processorci_top': merged address FF to cell. Checking read port address `\Processor.DCache.cache_tag'[0] in module `\processorci_top': merged address FF to cell. Checking read port address `\Processor.ICache.cache_data'[0] in module `\processorci_top': merged address FF to cell. Checking read port address `\Processor.ICache.cache_tag'[0] in module `\processorci_top': merged address FF to cell. Checking read port address `\Processor.N1.First_Stage.Branch_Prediction.address_to_jump'[0] in module `\processorci_top': merged address FF to cell. Checking read port address `\Processor.N1.RegisterBank.registers'[0] in module `\processorci_top': merged address FF to cell. Checking read port address `\Processor.N1.RegisterBank.registers'[1] in module `\processorci_top': merged address FF to cell. Checking read port address `\u_Controller.Core_Memory.memory'[0] in module `\processorci_top': no address FF found. 34.24.6. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 2 unused cells and 18 unused wires. 34.24.7. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Consolidating write ports of memory processorci_top.Processor.DCache.cache_data by address: Merging ports 0, 1 (address \Processor.N1.Third_Stage.Data_Address [7:2]). Consolidating write ports of memory processorci_top.Processor.DCache.cache_tag by address: Merging ports 0, 1 (address \Processor.N1.Third_Stage.Data_Address [7:2]). Consolidating write ports of memory processorci_top.Processor.N1.First_Stage.Branch_Prediction.address_to_jump by address: Merging ports 0, 1 (address \Processor.N1.Second_Stage.IDEXPC_o [7:1]). Consolidating write ports of memory processorci_top.Processor.N1.First_Stage.Branch_Prediction.address_to_jump by address: Consolidating read ports of memory processorci_top.Processor.N1.RegisterBank.registers by address: Consolidating write ports of memory processorci_top.Processor.N1.RegisterBank.registers by address: Consolidating write ports of memory processorci_top.Processor.N1.First_Stage.Branch_Prediction.address_to_jump using sat-based resource sharing: Checking group clocked with posedge \Processor.DCache.clk, width 32: ports 0, 1. Common input cone for all EN signals: 86 cells. Size of unconstrained SAT problem: 5574 variables, 14673 clauses Merging port 1 into port 0. 34.24.8. Executing OPT_MEM_WIDEN pass (optimize memories where all ports are wide). Performed a total of 0 transformations. 34.24.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 10 unused cells and 10 unused wires. 34.24.10. Executing MEMORY_COLLECT pass (generating $mem cells). 34.25. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.26. Executing MEMORY_LIBMAP pass (mapping memories to cells). mapping memory processorci_top.Processor.DCache.cache_data via $__ECP5_PDPW16KD_ mapping memory processorci_top.Processor.DCache.cache_tag via $__ECP5_PDPW16KD_ mapping memory processorci_top.Processor.ICache.cache_data via $__ECP5_PDPW16KD_ mapping memory processorci_top.Processor.ICache.cache_tag via $__ECP5_PDPW16KD_ mapping memory processorci_top.Processor.N1.First_Stage.Branch_Prediction.address_to_jump via $__ECP5_PDPW16KD_ using FF mapping for memory processorci_top.Processor.N1.RegisterBank.registers mapping memory processorci_top.u_Controller.Core_Memory.memory via $__TRELLIS_DPR16X4_ mapping memory processorci_top.u_Controller.Uart.rx_fifo.memory via $__TRELLIS_DPR16X4_ Extracted data FF from read port 0 of processorci_top.u_Controller.Uart.rx_fifo.memory: $\u_Controller.Uart.rx_fifo.memory$rdreg[0] mapping memory processorci_top.u_Controller.Uart.tx_fifo.memory via $__TRELLIS_DPR16X4_ Extracted data FF from read port 0 of processorci_top.u_Controller.Uart.tx_fifo.memory: $\u_Controller.Uart.tx_fifo.memory$rdreg[0] 34.27. Executing TECHMAP pass (map to technology primitives). 34.27.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/lutrams_map.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/lutrams_map.v' to AST representation. Generating RTLIL representation for module `\$__TRELLIS_DPR16X4_'. Successfully finished Verilog frontend. 34.27.2. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/brams_map.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/brams_map.v' to AST representation. Generating RTLIL representation for module `\$__ECP5_DP16KD_'. Generating RTLIL representation for module `\$__ECP5_PDPW16KD_'. Successfully finished Verilog frontend. 34.27.3. Continuing TECHMAP pass. Using template $paramod$04778961cc1285a5efea28f98f28381eb2862208\$__TRELLIS_DPR16X4_ for cells of type $__TRELLIS_DPR16X4_. Using template $paramod$02d10cc8049219b734b94ed56325542341e7b150\$__ECP5_PDPW16KD_ for cells of type $__ECP5_PDPW16KD_. No more expansions possible. 34.28. Executing OPT pass (performing simple optimizations). 34.28.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.28.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 10 cells. 34.28.3. Executing OPT_DFF pass (perform DFF optimizations). Adding EN signal on $auto$ff.cc:266:slice$6630 ($sdffe) from module processorci_top (D = $flatten\Processor.\N1.\First_Stage.$procmux$2810_Y [0], Q = \Processor.N1.First_Stage.PC [0]). Adding SRST signal on $auto$ff.cc:266:slice$6495 ($dffe) from module processorci_top (D = \Processor.N1.Second_Stage.Mdu.quociente_msk [31:1], Q = \Processor.N1.Second_Stage.Mdu.quociente_msk [30:0], rval = 31'0000000000000000000000000000000). Adding SRST signal on $auto$ff.cc:266:slice$6481 ($dffe) from module processorci_top (D = $flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3093_Y [62], Q = \Processor.N1.Second_Stage.Mdu.divisor [62], rval = 1'0). Adding EN signal on $auto$ff.cc:266:slice$6361 ($dffe) from module processorci_top (D = $auto$wreduce.cc:461:run$6787 [1:0], Q = \Processor.N1.Third_Stage.Data_Address [1:0]). Adding SRST signal on $auto$ff.cc:266:slice$6271 ($dffe) from module processorci_top (D = $flatten\Processor.\N1.\Third_Stage.$procmux$3391_Y, Q = \Processor.N1.Third_Stage.memory_operation_o, rval = 1'0). Handling never-active EN on $auto$ff.cc:266:slice$6257 ($dffe) from module processorci_top (removing D path). Handling never-active EN on $auto$ff.cc:266:slice$6246 ($dffe) from module processorci_top (removing D path). Handling always-active SRST on $auto$ff.cc:266:slice$6239 ($sdffe) from module processorci_top (changing to const D). Adding SRST signal on $auto$ff.cc:266:slice$6165 ($dffe) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:459$1392_Y, Q = \u_Controller.Interpreter.memory_page_number, rval = 24'000000000000000000000000). Adding EN signal on $auto$ff.cc:266:slice$6109 ($dffe) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$procmux$4459_Y [1:0], Q = \u_Controller.Interpreter.temp_buffer [1:0]). Removing always-active EN on $auto$mem.cc:1146:emulate_transparency$7099 ($dffe) from module processorci_top. Removing always-active EN on $auto$mem.cc:1146:emulate_transparency$7110 ($dffe) from module processorci_top. Removing always-active EN on $auto$mem.cc:1146:emulate_transparency$7121 ($dffe) from module processorci_top. Removing always-active EN on $auto$mem.cc:1146:emulate_transparency$7132 ($dffe) from module processorci_top. Removing always-active EN on $auto$mem.cc:1146:emulate_transparency$7143 ($dffe) from module processorci_top. Setting constant 0-bit at position 1 on $auto$ff.cc:266:slice$6239 ($dff) from module processorci_top. 34.28.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 40 unused cells and 7488 unused wires. 34.28.5. Rerunning OPT passes. (Removed registers in this run.) 34.28.6. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.28.7. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 1 cells. 34.28.8. Executing OPT_DFF pass (perform DFF optimizations). Removing never-active SRST on $\u_Controller.Uart.rx_fifo.memory$rdreg[0] ($sdffe) from module processorci_top. Removing never-active SRST on $\u_Controller.Uart.tx_fifo.memory$rdreg[0] ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$9560 ($dffe) from module processorci_top (D = \Processor.N1.Second_Stage.Mdu.divisor [31:1], Q = \Processor.N1.Second_Stage.Mdu.divisor [30:0], rval = 31'0000000000000000000000000000000). Removing never-active SRST on $auto$ff.cc:266:slice$6764 ($sdffe) from module processorci_top. Removing never-active ARST on $auto$ff.cc:266:slice$6223 ($adffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6213 ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$6213 ($sdffe) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:213$1376_Y, Q = \u_Controller.Interpreter.counter, rval = 8'00000000). Removing never-active SRST on $auto$ff.cc:266:slice$6211 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6210 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6209 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6208 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6196 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6194 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6186 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6183 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6179 ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$6179 ($sdffe) from module processorci_top (D = { \u_Controller.Interpreter.accumulator [31:26] \u_Controller.Interpreter.accumulator [1:0] }, Q = { \u_Controller.Interpreter.end_position [31:26] \u_Controller.Interpreter.end_position [1:0] }, rval = 8'00000000). Removing never-active SRST on $auto$ff.cc:266:slice$6177 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6176 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6173 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6155 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6144 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6140 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6134 ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$6134 ($sdffe) from module processorci_top (D = $flatten\u_Controller.\Interpreter.$add$/eda/processor-ci-controller/rtl/interpreter.sv:449$1388_Y, Q = \u_Controller.Interpreter.timeout_counter, rval = 0). Removing never-active SRST on $auto$ff.cc:266:slice$6126 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6119 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6102 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6100 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6094 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6092 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6091 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6089 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6077 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6067 ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$6067 ($sdffe) from module processorci_top (D = \u_Controller.Interpreter.communication_write_data [7:0], Q = \u_Controller.Uart.write_data_buffer [7:0], rval = 8'00000000). Removing never-active SRST on $auto$ff.cc:266:slice$6057 ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$6057 ($sdffe) from module processorci_top (D = $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:145$1362_Y, Q = \u_Controller.Uart.counter_write, rval = 3'000). Removing never-active SRST on $auto$ff.cc:266:slice$6055 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6046 ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$6046 ($sdffe) from module processorci_top (D = { \u_Controller.Uart.read_data [23:0] \u_Controller.Uart.rx_fifo.read_data_o }, Q = \u_Controller.Uart.read_data, rval = 0). Removing never-active SRST on $auto$ff.cc:266:slice$6029 ($sdffe) from module processorci_top. Adding SRST signal on $auto$ff.cc:266:slice$6029 ($sdffe) from module processorci_top (D = $flatten\u_Controller.\Uart.$add$/eda/processor-ci-controller/modules/uart.sv:81$1357_Y, Q = \u_Controller.Uart.counter_read, rval = 3'000). Removing never-active SRST on $auto$ff.cc:266:slice$6027 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6026 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6021 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6011 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$6003 ($sdff) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$5990 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$5989 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$5987 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$5983 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$5981 ($sdffe) from module processorci_top. Removing never-active SRST on $auto$ff.cc:266:slice$5977 ($sdffe) from module processorci_top. 34.28.9. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 6 unused cells and 40 unused wires. 34.28.10. Rerunning OPT passes. (Removed registers in this run.) 34.28.11. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.28.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.28.13. Executing OPT_DFF pass (perform DFF optimizations). 34.28.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.28.15. Finished fast OPT passes. 34.29. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). Mapping memory \Processor.N1.RegisterBank.registers in module \processorci_top: created 32 $dff cells and 0 static cells of width 32. Extracted addr FF from read port 0 of processorci_top.Processor.N1.RegisterBank.registers: $\Processor.N1.RegisterBank.registers$rdreg[0] Extracted addr FF from read port 1 of processorci_top.Processor.N1.RegisterBank.registers: $\Processor.N1.RegisterBank.registers$rdreg[1] read interface: 2 $dff and 62 $mux cells. write interface: 64 write mux blocks. 34.30. Executing OPT pass (performing simple optimizations). 34.30.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.30.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.30.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Replacing known input bits on port B of cell $auto$memory_share.cc:453:consolidate_wr_using_sat$7097: $auto$rtlil.cc:2728:ReduceOr$7091 -> 1'1 Analyzing evaluation results. dead port 1/2 on $mux $memory\Processor.N1.RegisterBank.registers$wrmux[0][0][0]$9857. dead port 2/2 on $mux $memory\Processor.N1.RegisterBank.registers$wrmux[0][0][0]$9857. Removed 2 multiplexer ports. 34.30.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$9573: { $auto$opt_dff.cc:194:make_patterns_logic$9570 $auto$opt_dff.cc:194:make_patterns_logic$6112 $auto$opt_dff.cc:194:make_patterns_logic$6110 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$9555: { $auto$opt_dff.cc:194:make_patterns_logic$6625 $auto$opt_dff.cc:194:make_patterns_logic$6621 $auto$opt_dff.cc:194:make_patterns_logic$6623 $auto$opt_dff.cc:194:make_patterns_logic$9546 $auto$opt_dff.cc:194:make_patterns_logic$9548 $auto$opt_dff.cc:194:make_patterns_logic$9552 $auto$opt_dff.cc:194:make_patterns_logic$9550 } New input vector for $reduce_and cell $auto$opt_dff.cc:220:make_patterns_logic$9565: { $auto$opt_dff.cc:194:make_patterns_logic$9562 $auto$opt_dff.cc:194:make_patterns_logic$6274 $auto$fsm_map.cc:74:implement_pattern_cache$5611 $auto$opt_dff.cc:194:make_patterns_logic$6366 $auto$opt_dff.cc:194:make_patterns_logic$6364 $auto$opt_dff.cc:194:make_patterns_logic$6362 } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.$procmux$2784: Old ports: A={ $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583_Y [31:2] \Processor.N1.First_Stage.PC [1] 1'x }, B={ $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582_Y [31:1] 1'x }, Y=$flatten\Processor.\N1.\First_Stage.$procmux$2784_Y New ports: A={ $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583_Y [31:2] \Processor.N1.First_Stage.PC [1] }, B=$flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582_Y [31:1], Y=$flatten\Processor.\N1.\First_Stage.$procmux$2784_Y [31:1] New connections: $flatten\Processor.\N1.\First_Stage.$procmux$2784_Y [0] = 1'x Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.$procmux$2791: Old ports: A={ $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583_Y [31:2] \Processor.N1.First_Stage.PC [1] 1'x }, B={ $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582_Y [31:1] 1'x }, Y=$flatten\Processor.\N1.\First_Stage.$procmux$2791_Y New ports: A={ $flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:175$583_Y [31:2] \Processor.N1.First_Stage.PC [1] }, B=$flatten\Processor.\N1.\First_Stage.$add$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IFID.sv:172$582_Y [31:1], Y=$flatten\Processor.\N1.\First_Stage.$procmux$2791_Y [31:1] New connections: $flatten\Processor.\N1.\First_Stage.$procmux$2791_Y [0] = 1'x Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2104: Old ports: A={ 1'0 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 15'000000011100111 }, B=21'100000000000001110011, Y=$auto$wreduce.cc:461:run$6767 [20:0] New ports: A={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 2'01 }, B=7'0000010, Y={ $auto$wreduce.cc:461:run$6767 [19:15] $auto$wreduce.cc:461:run$6767 [4] $auto$wreduce.cc:461:run$6767 [2] } New connections: { $auto$wreduce.cc:461:run$6767 [20] $auto$wreduce.cc:461:run$6767 [14:5] $auto$wreduce.cc:461:run$6767 [3] $auto$wreduce.cc:461:run$6767 [1:0] } = { $auto$wreduce.cc:461:run$6767 [4] 7'0000000 $auto$wreduce.cc:461:run$6767 [2] 5'11011 } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2150: Old ports: A={ 5'00000 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 15'000000001100111 }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] 8'00000000 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 7'0110011 }, Y=$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [24:0] New ports: A={ 5'00000 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 7'0000001 }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] 5'00000 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 2'10 }, Y={ $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [24:15] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [11:7] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [4] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [2] } New connections: { $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [14:12] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [6:5] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [3] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [1:0] } = { 3'000 $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [2] 4'1011 } Consolidated identical input bits for $pmux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2235: Old ports: A={ 9'010000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 5'00001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 7'0110011 }, B={ 9'000000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 5'10001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 16'0110011000000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 5'11001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 16'0110011000000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 5'11101 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 7'0110011 \Processor.N1.First_Stage.IR_Decompression.instr_c_i }, Y=$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$6\instr_d_o[31:0] New ports: A={ 9'010000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 12'000010110011 }, B={ 9'000000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 21'100010110011000000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 21'110010110011000000001 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 12'111010110011 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [31:10] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:0] }, Y={ $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$6\instr_d_o[31:0] [31:10] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$6\instr_d_o[31:0] [6:0] } New connections: $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$6\instr_d_o[31:0] [9:7] = \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2314: Old ports: A={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 7'0110111 }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:3] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [5] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [2] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6] 24'000000010000000100010011 }, Y=$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [28:0] New ports: A={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 1'1 }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [4:3] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [5] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [2] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6] 12'010000000100 }, Y={ $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [28:24] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [17:7] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [2] } New connections: { $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [23:18] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [6:3] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [1:0] } = { $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [17] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [17] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [17] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [17] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [17] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [17] 1'0 $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$4\instr_d_o[31:0] [2] 4'1011 } Consolidated identical input bits for $pmux cell $flatten\Processor.\N1.\Fourth_Stage.$procmux$1999: Old ports: A=\Processor.N1.Fourth_Stage.read_data_i, B={ \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7:0] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15:0] 24'000000000000000000000000 \Processor.N1.Fourth_Stage.read_data_i [7:0] 16'0000000000000000 \Processor.N1.Fourth_Stage.read_data_i [15:0] }, Y=\Processor.N1.Fourth_Stage.read_data_normalized New ports: A=\Processor.N1.Fourth_Stage.read_data_i [31:8], B={ \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [7] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15] \Processor.N1.Fourth_Stage.read_data_i [15:8] 40'0000000000000000000000000000000000000000 \Processor.N1.Fourth_Stage.read_data_i [15:8] }, Y=\Processor.N1.Fourth_Stage.read_data_normalized [31:8] New connections: \Processor.N1.Fourth_Stage.read_data_normalized [7:0] = \Processor.N1.Fourth_Stage.read_data_i [7:0] Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\Second_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/IDEX.sv:144$640: Old ports: A=3'100, B=3'010, Y=$auto$wreduce.cc:461:run$6769 [2:0] New ports: A=2'10, B=2'01, Y=$auto$wreduce.cc:461:run$6769 [2:1] New connections: $auto$wreduce.cc:461:run$6769 [0] = 1'0 Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\Second_Stage.\ALU_Control.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:42$682: Old ports: A=4'1010, B=4'0010, Y=$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:42$682_Y New ports: A=1'1, B=1'0, Y=$flatten\Processor.\N1.\Second_Stage.\ALU_Control.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:42$682_Y [3] New connections: $flatten\Processor.\N1.\Second_Stage.\ALU_Control.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:42$682_Y [2:0] = 3'010 Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\Second_Stage.\ALU_Control.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu_control.sv:47$683: Old ports: A=2'01, B=2'11, Y=$auto$wreduce.cc:461:run$6770 [1:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:461:run$6770 [1] New connections: $auto$wreduce.cc:461:run$6770 [0] = 1'1 Consolidated identical input bits for $pmux cell $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$procmux$2574: Old ports: A={ \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31:20] }, B={ \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24:20] 20'00000000000000000000 \Processor.N1.First_Stage.IFID_IR_o [31:20] 27'000000000000000000000000000 \Processor.N1.First_Stage.IFID_IR_o [24:20] }, Y=$flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] New ports: A={ \Processor.N1.First_Stage.IFID_IR_o [31] \Processor.N1.First_Stage.IFID_IR_o [31:25] }, B={ \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] \Processor.N1.First_Stage.IFID_IR_o [24] 1'0 \Processor.N1.First_Stage.IFID_IR_o [31:25] 8'00000000 }, Y=$flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12:5] New connections: { $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [31:13] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [4:0] } = { $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] $flatten\Processor.\N1.\Second_Stage.\Immediate_Generator.$2\imm_o[31:0] [12] \Processor.N1.First_Stage.IFID_IR_o [24:20] } Consolidated identical input bits for $pmux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3429: Old ports: A={ \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] 8'x }, B={ \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] \Processor.N1.Third_Stage.Merged_Word_o [15] 80'xxxxxxxxxxxxxxxx000000000000000000000000xxxxxxxx0000000000000000xxxxxxxxxxxxxxxx }, Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y New ports: A={ \Processor.N1.Third_Stage.Merged_Word_o [7] \Processor.N1.Third_Stage.Merged_Word_o [7] }, B={ \Processor.N1.Third_Stage.Merged_Word_o [15] 5'x000x }, Y={ $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] } New connections: { $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [31:17] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [15:9] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [7:0] } = { $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [16] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] $flatten\Processor.\N1.\Third_Stage.$procmux$3429_Y [8] 8'x } Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3670: Old ports: A={ \Processor.N1.Third_Stage.Second_Word [31:24] \Processor.N1.Third_Stage.First_Word [31:8] }, B={ \Processor.N1.Third_Stage.Second_Word [31:16] \Processor.N1.Third_Stage.First_Word [31:16] }, Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3670_Y New ports: A=\Processor.N1.Third_Stage.First_Word [31:8], B={ \Processor.N1.Third_Stage.Second_Word [23:16] \Processor.N1.Third_Stage.First_Word [31:16] }, Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3670_Y [23:0] New connections: $flatten\Processor.\N1.\Third_Stage.$procmux$3670_Y [31:24] = \Processor.N1.Third_Stage.Second_Word [31:24] Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3679: Old ports: A={ \Processor.N1.Third_Stage.EXMEM_mem_data_value [7:0] \Processor.N1.Third_Stage.First_Word [23:0] }, B={ \Processor.N1.Third_Stage.EXMEM_mem_data_value [15:0] \Processor.N1.Third_Stage.First_Word [15:0] }, Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3679_Y New ports: A={ \Processor.N1.Third_Stage.EXMEM_mem_data_value [7:0] \Processor.N1.Third_Stage.First_Word [23:16] }, B=\Processor.N1.Third_Stage.EXMEM_mem_data_value [15:0], Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3679_Y [31:16] New connections: $flatten\Processor.\N1.\Third_Stage.$procmux$3679_Y [15:0] = \Processor.N1.Third_Stage.First_Word [15:0] Consolidated identical input bits for $mux cell $flatten\u_Controller.\Interpreter.$procmux$4181: Old ports: A=3'011, B=3'100, Y=$auto$wreduce.cc:461:run$6792 [2:0] New ports: A=2'01, B=2'10, Y={ $auto$wreduce.cc:461:run$6792 [2] $auto$wreduce.cc:461:run$6792 [0] } New connections: $auto$wreduce.cc:461:run$6792 [1] = $auto$wreduce.cc:461:run$6792 [0] Consolidated identical input bits for $mux cell $flatten\u_Controller.\Interpreter.$procmux$4186: Old ports: A=7'1010010, B=7'0010101, Y=$auto$wreduce.cc:461:run$6793 [6:0] New ports: A=2'10, B=2'01, Y=$auto$wreduce.cc:461:run$6793 [1:0] New connections: $auto$wreduce.cc:461:run$6793 [6:2] = { $auto$wreduce.cc:461:run$6793 [1] 3'010 $auto$wreduce.cc:461:run$6793 [0] } Consolidated identical input bits for $mux cell $flatten\u_Controller.\Interpreter.$procmux$4197: Old ports: A=4'1100, B=4'0000, Y=$auto$wreduce.cc:461:run$6794 [3:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:461:run$6794 [2] New connections: { $auto$wreduce.cc:461:run$6794 [3] $auto$wreduce.cc:461:run$6794 [1:0] } = { $auto$wreduce.cc:461:run$6794 [2] 2'00 } Consolidated identical input bits for $mux cell $flatten\u_Controller.\Interpreter.$procmux$4207: Old ports: A=4'1001, B=4'0000, Y=$auto$wreduce.cc:461:run$6796 [3:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:461:run$6796 [0] New connections: $auto$wreduce.cc:461:run$6796 [3:1] = { $auto$wreduce.cc:461:run$6796 [0] 2'00 } Consolidated identical input bits for $mux cell $flatten\u_Controller.\Interpreter.$procmux$4221: Old ports: A=7'0000110, B=7'1010111, Y=$auto$wreduce.cc:461:run$6798 [6:0] New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:461:run$6798 [0] New connections: $auto$wreduce.cc:461:run$6798 [6:1] = { $auto$wreduce.cc:461:run$6798 [0] 1'0 $auto$wreduce.cc:461:run$6798 [0] 3'011 } Consolidated identical input bits for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4424: Old ports: A=8'00001011, B=302978816, Y=$flatten\u_Controller.\Interpreter.$procmux$4424_Y New ports: A=5'01011, B=20'10010011111011100000, Y=$flatten\u_Controller.\Interpreter.$procmux$4424_Y [4:0] New connections: $flatten\u_Controller.\Interpreter.$procmux$4424_Y [7:5] = 3'000 Consolidated identical input bits for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4773: Old ports: A={ 8'00000000 \u_Controller.Interpreter.communication_buffer [31:8] }, B={ 40'0000000000000000000000000001010000000000 \u_Controller.Interpreter.timeout [23:0] }, Y=$flatten\u_Controller.\Interpreter.$procmux$4773_Y New ports: A=\u_Controller.Interpreter.communication_buffer [31:8], B={ 24'000000000000000000010100 \u_Controller.Interpreter.timeout [23:0] }, Y=$flatten\u_Controller.\Interpreter.$procmux$4773_Y [23:0] New connections: $flatten\u_Controller.\Interpreter.$procmux$4773_Y [31:24] = 8'00000000 New ctrl vector for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4783: $auto$opt_reduce.cc:137:opt_pmux$5490 Consolidated identical input bits for $pmux cell $flatten\u_Controller.\Uart.\i_uart_rx.$procmux$4006: Old ports: A=3'000, B={ 2'00 $auto$wreduce.cc:461:run$6803 [0] 1'0 $auto$wreduce.cc:461:run$6804 [1:0] 2'01 \u_Controller.Uart.i_uart_rx.payload_done 1'0 $auto$wreduce.cc:461:run$6806 [1:0] }, Y=\u_Controller.Uart.i_uart_rx.n_fsm_state New ports: A=2'00, B={ 1'0 $auto$wreduce.cc:461:run$6803 [0] $auto$wreduce.cc:461:run$6804 [1:0] 1'1 \u_Controller.Uart.i_uart_rx.payload_done $auto$wreduce.cc:461:run$6806 [1:0] }, Y=\u_Controller.Uart.i_uart_rx.n_fsm_state [1:0] New connections: \u_Controller.Uart.i_uart_rx.n_fsm_state [2] = 1'0 Consolidated identical input bits for $mux cell $flatten\u_Controller.\Uart.\i_uart_rx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_rx.v:120$1483: Old ports: A=2'11, B=2'00, Y=$auto$wreduce.cc:461:run$6806 [1:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:461:run$6806 [0] New connections: $auto$wreduce.cc:461:run$6806 [1] = $auto$wreduce.cc:461:run$6806 [0] Consolidated identical input bits for $pmux cell $flatten\u_Controller.\Uart.\i_uart_tx.$procmux$4143: Old ports: A=3'000, B={ 2'00 \u_Controller.Uart.uart_tx_en 1'0 $auto$wreduce.cc:461:run$6808 [1:0] 2'01 \u_Controller.Uart.i_uart_tx.payload_done 1'0 $auto$wreduce.cc:461:run$6810 [1:0] }, Y=\u_Controller.Uart.i_uart_tx.n_fsm_state New ports: A=2'00, B={ 1'0 \u_Controller.Uart.uart_tx_en $auto$wreduce.cc:461:run$6808 [1:0] 1'1 \u_Controller.Uart.i_uart_tx.payload_done $auto$wreduce.cc:461:run$6810 [1:0] }, Y=\u_Controller.Uart.i_uart_tx.n_fsm_state [1:0] New connections: \u_Controller.Uart.i_uart_tx.n_fsm_state [2] = 1'0 Consolidated identical input bits for $mux cell $flatten\u_Controller.\Uart.\i_uart_tx.$ternary$/eda/processor-ci-controller/modules/UART/rtl/uart_tx.v:103$1426: Old ports: A=2'11, B=2'00, Y=$auto$wreduce.cc:461:run$6810 [1:0] New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:461:run$6810 [0] New connections: $auto$wreduce.cc:461:run$6810 [1] = $auto$wreduce.cc:461:run$6810 [0] Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2120: Old ports: A={ 4'0000 $auto$wreduce.cc:461:run$6767 [20:0] }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 3'000 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 7'0110011 }, Y=$auto$wreduce.cc:461:run$6766 [24:0] New ports: A={ 4'0000 $auto$wreduce.cc:461:run$6767 [4] $auto$wreduce.cc:461:run$6767 [19:15] 4'0000 $auto$wreduce.cc:461:run$6767 [2] 1'1 $auto$wreduce.cc:461:run$6767 [4] $auto$wreduce.cc:461:run$6767 [2] }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [11:7] 3'010 }, Y={ $auto$wreduce.cc:461:run$6766 [24:15] $auto$wreduce.cc:461:run$6766 [11:6] $auto$wreduce.cc:461:run$6766 [4] $auto$wreduce.cc:461:run$6766 [2] } New connections: { $auto$wreduce.cc:461:run$6766 [14:12] $auto$wreduce.cc:461:run$6766 [5] $auto$wreduce.cc:461:run$6766 [3] $auto$wreduce.cc:461:run$6766 [1:0] } = 7'0001011 Consolidated identical input bits for $pmux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2290: Old ports: A={ 1'0 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [10] 5'00000 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 5'10101 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 7'0010011 }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 5'11101 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 7'0010011 $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$6\instr_d_o[31:0] }, Y=$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$5\instr_d_o[31:0] New ports: A={ 1'0 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [10] 5'00000 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 12'101010010011 }, B={ \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [12] \Processor.N1.First_Stage.IR_Decompression.instr_c_i [6:2] 2'01 \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] 12'111010010011 $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$6\instr_d_o[31:0] [31:10] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$6\instr_d_o[31:0] [6:0] }, Y={ $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$5\instr_d_o[31:0] [31:10] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$5\instr_d_o[31:0] [6:0] } New connections: $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$5\instr_d_o[31:0] [9:7] = \Processor.N1.First_Stage.IR_Decompression.instr_c_i [9:7] Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3673: Old ports: A=$flatten\Processor.\N1.\Third_Stage.$procmux$3670_Y, B={ \Processor.N1.Third_Stage.Second_Word [31:8] \Processor.N1.Third_Stage.First_Word [31:24] }, Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3673_Y New ports: A=$flatten\Processor.\N1.\Third_Stage.$procmux$3670_Y [23:0], B={ \Processor.N1.Third_Stage.Second_Word [23:8] \Processor.N1.Third_Stage.First_Word [31:24] }, Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3673_Y [23:0] New connections: $flatten\Processor.\N1.\Third_Stage.$procmux$3673_Y [31:24] = \Processor.N1.Third_Stage.Second_Word [31:24] Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\Third_Stage.$procmux$3682: Old ports: A=$flatten\Processor.\N1.\Third_Stage.$procmux$3679_Y, B={ \Processor.N1.Third_Stage.EXMEM_mem_data_value [23:0] \Processor.N1.Third_Stage.First_Word [7:0] }, Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3682_Y New ports: A={ $flatten\Processor.\N1.\Third_Stage.$procmux$3679_Y [31:16] \Processor.N1.Third_Stage.First_Word [15:8] }, B=\Processor.N1.Third_Stage.EXMEM_mem_data_value [23:0], Y=$flatten\Processor.\N1.\Third_Stage.$procmux$3682_Y [31:8] New connections: $flatten\Processor.\N1.\Third_Stage.$procmux$3682_Y [7:0] = \Processor.N1.Third_Stage.First_Word [7:0] Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$procmux$2185: Old ports: A=$flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [24:0], B=$auto$wreduce.cc:461:run$6766 [24:0], Y=$auto$wreduce.cc:461:run$6768 [24:0] New ports: A={ $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [24:15] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [11:7] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [2] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [4] $flatten\Processor.\N1.\First_Stage.\IR_Decompression.$9\instr_d_o[31:0] [2] }, B={ $auto$wreduce.cc:461:run$6766 [24:15] $auto$wreduce.cc:461:run$6766 [11:6] $auto$wreduce.cc:461:run$6766 [4] $auto$wreduce.cc:461:run$6766 [2] }, Y={ $auto$wreduce.cc:461:run$6768 [24:15] $auto$wreduce.cc:461:run$6768 [11:6] $auto$wreduce.cc:461:run$6768 [4] $auto$wreduce.cc:461:run$6768 [2] } New connections: { $auto$wreduce.cc:461:run$6768 [14:12] $auto$wreduce.cc:461:run$6768 [5] $auto$wreduce.cc:461:run$6768 [3] $auto$wreduce.cc:461:run$6768 [1:0] } = 7'0001011 Optimizing cells in module \processorci_top. Performed a total of 34 changes. 34.30.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 1 cells. 34.30.6. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $\Processor.N1.RegisterBank.registers$rdreg[0] ($dff) from module processorci_top (D = $auto$rtlil.cc:2859:Mux$7069, Q = $\Processor.N1.RegisterBank.registers$rdreg[0]$q, rval = 5'00000). Adding SRST signal on $\Processor.N1.RegisterBank.registers$rdreg[1] ($dff) from module processorci_top (D = $auto$rtlil.cc:2859:Mux$7074, Q = $\Processor.N1.RegisterBank.registers$rdreg[1]$q, rval = 5'00000). Setting constant 0-bit at position 0 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 1 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 2 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 3 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 4 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 5 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 6 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 7 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 8 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 9 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 10 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 11 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 12 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 13 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 14 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 15 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 16 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 17 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 18 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 19 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 20 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 21 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 22 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 23 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 24 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 25 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 26 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 27 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 28 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 29 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 30 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. Setting constant 0-bit at position 31 on $memory\Processor.N1.RegisterBank.registers[0]$9587 ($dff) from module processorci_top. 34.30.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 4 unused cells and 185 unused wires. 34.30.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.30.9. Rerunning OPT passes. (Maybe there is more to do..) 34.30.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.30.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.30.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.30.13. Executing OPT_DFF pass (perform DFF optimizations). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[9]$9605 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[9]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[8]$9603 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[8]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[7]$9601 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[7]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[6]$9599 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[6]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[5]$9597 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[5]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[4]$9595 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[4]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[3]$9593 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[3]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[31]$9649 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[31]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[30]$9647 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[30]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[2]$9591 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[2]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[29]$9645 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[29]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[28]$9643 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[28]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[27]$9641 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[27]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[26]$9639 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[26]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[25]$9637 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[25]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[24]$9635 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[24]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[23]$9633 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[23]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[22]$9631 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[22]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[21]$9629 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[21]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[20]$9627 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[20]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[1]$9589 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[1]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[19]$9625 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[19]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[18]$9623 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[18]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[17]$9621 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[17]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[16]$9619 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[16]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[15]$9617 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[15]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[14]$9615 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[14]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[13]$9613 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[13]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[12]$9611 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[12]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[11]$9609 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[11]). Adding EN signal on $memory\Processor.N1.RegisterBank.registers[10]$9607 ($dff) from module processorci_top (D = \Processor.N1.RegisterBank.data_i, Q = \Processor.N1.RegisterBank.registers[10]). Setting constant 0-bit at position 2 on $auto$ff.cc:266:slice$6003 ($dff) from module processorci_top. Setting constant 0-bit at position 5 on $auto$ff.cc:266:slice$6102 ($dffe) from module processorci_top. Setting constant 0-bit at position 6 on $auto$ff.cc:266:slice$6102 ($dffe) from module processorci_top. Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$6102 ($dffe) from module processorci_top. Setting constant 0-bit at position 24 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. Setting constant 0-bit at position 25 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. Setting constant 0-bit at position 26 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. Setting constant 0-bit at position 27 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. Setting constant 0-bit at position 28 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. Setting constant 0-bit at position 29 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. Setting constant 0-bit at position 30 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. Setting constant 0-bit at position 31 on $auto$ff.cc:266:slice$6187 ($dffe) from module processorci_top. 34.30.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 31 unused cells and 31 unused wires. 34.30.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.30.16. Rerunning OPT passes. (Maybe there is more to do..) 34.30.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.30.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Consolidated identical input bits for $mux cell $flatten\u_Controller.\Interpreter.$procmux$4191: Old ports: A=8'00000100, B={ 3'000 \u_Controller.Interpreter.return_state [4:0] }, Y=$flatten\u_Controller.\Interpreter.$procmux$4191_Y New ports: A=5'00100, B=\u_Controller.Interpreter.return_state [4:0], Y=$flatten\u_Controller.\Interpreter.$procmux$4191_Y [4:0] New connections: $flatten\u_Controller.\Interpreter.$procmux$4191_Y [7:5] = 3'000 Optimizing cells in module \processorci_top. Consolidated identical input bits for $pmux cell $flatten\u_Controller.\Interpreter.$procmux$4169: Old ports: A=8'00000000, B={ 7'0000000 $auto$wreduce.cc:461:run$6802 [0] 6'000000 $auto$wreduce.cc:461:run$6795 [1:0] 1'0 $auto$wreduce.cc:461:run$6800 [6:0] 14'00001101000011 $auto$wreduce.cc:461:run$6799 [1:0] 3'000 \u_Controller.Interpreter.return_state [4:0] 1'0 $auto$wreduce.cc:461:run$6798 [6] 1'0 $auto$wreduce.cc:461:run$6798 [6] 3'011 $auto$wreduce.cc:461:run$6798 [6] 7'0000011 \u_Controller.Uart.read_response 4'0000 $auto$wreduce.cc:461:run$6794 [3] 2'00 $auto$wreduce.cc:461:run$6794 [3] 6'000010 $auto$wreduce.cc:461:run$6795 [1:0] 20'00001000000010110000 $auto$wreduce.cc:461:run$6794 [3] $auto$wreduce.cc:461:run$6794 [3] 18'000000010100000100 $flatten\u_Controller.\Interpreter.$procmux$4191_Y 1'0 $auto$wreduce.cc:461:run$6793 [6] 3'010 $auto$wreduce.cc:461:run$6793 [2] $auto$wreduce.cc:461:run$6793 [6] $auto$wreduce.cc:461:run$6793 [2] 13'0001001100010 $auto$wreduce.cc:461:run$6792 [2:1] $auto$wreduce.cc:461:run$6792 [1] 31'0001011001010010000100000001000 $auto$wreduce.cc:461:run$6791 [0] 8'00000011 }, Y=$flatten\u_Controller.\Interpreter.$procmux$4169_Y New ports: A=7'0000000, B={ 6'000000 $auto$wreduce.cc:461:run$6802 [0] 5'00000 $auto$wreduce.cc:461:run$6795 [1:0] $auto$wreduce.cc:461:run$6800 [6:0] 12'000110100011 $auto$wreduce.cc:461:run$6799 [1:0] 2'00 \u_Controller.Interpreter.return_state [4:0] $auto$wreduce.cc:461:run$6798 [6] 1'0 $auto$wreduce.cc:461:run$6798 [6] 3'011 $auto$wreduce.cc:461:run$6798 [6] 6'000011 \u_Controller.Uart.read_response 3'000 $auto$wreduce.cc:461:run$6794 [3] 2'00 $auto$wreduce.cc:461:run$6794 [3] 5'00010 $auto$wreduce.cc:461:run$6795 [1:0] 17'00010000001011000 $auto$wreduce.cc:461:run$6794 [3] $auto$wreduce.cc:461:run$6794 [3] 18'000000101000010000 $flatten\u_Controller.\Interpreter.$procmux$4191_Y [4:0] $auto$wreduce.cc:461:run$6793 [6] 3'010 $auto$wreduce.cc:461:run$6793 [2] $auto$wreduce.cc:461:run$6793 [6] $auto$wreduce.cc:461:run$6793 [2] 11'00100110010 $auto$wreduce.cc:461:run$6792 [2:1] $auto$wreduce.cc:461:run$6792 [1] 27'001011010100100010000001000 $auto$wreduce.cc:461:run$6791 [0] 7'0000011 }, Y=$flatten\u_Controller.\Interpreter.$procmux$4169_Y [6:0] New connections: $flatten\u_Controller.\Interpreter.$procmux$4169_Y [7] = 1'0 Optimizing cells in module \processorci_top. Performed a total of 2 changes. 34.30.19. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.30.20. Executing OPT_DFF pass (perform DFF optimizations). Adding SRST signal on $auto$ff.cc:266:slice$6223 ($dffe) from module processorci_top (D = $flatten\u_Controller.\ClkDivider.$procmux$4148_Y [31:24], Q = \u_Controller.ClkDivider.pulse_counter [31:24], rval = 8'00000000). 34.30.21. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.30.22. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.30.23. Rerunning OPT passes. (Maybe there is more to do..) 34.30.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.30.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.30.26. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.30.27. Executing OPT_DFF pass (perform DFF optimizations). Setting constant 0-bit at position 7 on $auto$ff.cc:266:slice$6211 ($dff) from module processorci_top. 34.30.28. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.30.29. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.30.30. Rerunning OPT passes. (Maybe there is more to do..) 34.30.31. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 34.30.32. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.30.33. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.30.34. Executing OPT_DFF pass (perform DFF optimizations). 34.30.35. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.30.36. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.30.37. Finished OPT passes. (There is nothing left to do.) 34.31. Executing TECHMAP pass (map to technology primitives). 34.31.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/techmap.v Parsing Verilog input from `/usr/local/share/synlig/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 34.31.2. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/arith_map.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/arith_map.v' to AST representation. Generating RTLIL representation for module `\_80_ecp5_alu'. Successfully finished Verilog frontend. 34.31.3. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $dff. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $dffe. Using extmapper simplemap for cells of type $reduce_and. Using extmapper simplemap for cells of type $reduce_or. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $sdffce. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $sdff. Using extmapper simplemap for cells of type $ne. Using extmapper simplemap for cells of type $or. Using extmapper simplemap for cells of type $reduce_bool. Using extmapper simplemap for cells of type $logic_not. Using extmapper simplemap for cells of type $logic_and. Using template $paramod$32a7b7b86c07519b7537abc18e96f0331f97914d\_90_alu for cells of type $alu. Using template $paramod$3ef7d3dd227da7627a99c5e5a6a4deb817573e39\_90_alu for cells of type $alu. Using extmapper simplemap for cells of type $eq. Using extmapper simplemap for cells of type $sdffe. Using template $paramod$068ad458e7761d78e5eed8238508872e7b0aef95\_90_pmux for cells of type $pmux. Using extmapper simplemap for cells of type $logic_or. Using template $paramod$754650b284649a026620fc6856e5b6886cbfe794\_80_ecp5_alu for cells of type $alu. Using template $paramod$039520c137afc9cd69dd56c3fb11a4e1fbe5f664\_80_ecp5_alu for cells of type $alu. Using template $paramod$bdc78fe5225b43c64ccdf13e5eb67d9dcf228e8a\_80_ecp5_alu for cells of type $alu. Using template $paramod$ff467a932a72bec5a93c27dba04bf3daba067451\_80_ecp5_alu for cells of type $alu. Using template $paramod$6a42b6fefed750f8a1c58eab59479d960557103c\_80_ecp5_alu for cells of type $alu. Using template $paramod$79aa992f2eb7f354d4aaf651790713cf239111fa\_80_ecp5_alu for cells of type $alu. Using template $paramod$c9511eeb847f2aa95252b1013477609463f67ee0\_80_ecp5_alu for cells of type $alu. Using template $paramod$a7b312e4ba8fdc79d4c5e0789e4e6c41ea3896fb\_90_pmux for cells of type $pmux. Using template $paramod$7a385d5b7a2e603dbc5beef838648775b433f248\_90_pmux for cells of type $pmux. Using template $paramod$24fb226dd75c9d3f6955ec2ad61d794776778cf6\_90_pmux for cells of type $pmux. Using template $paramod$54a4503cc57b9df40b70c1899504d6aac2650719\_90_pmux for cells of type $pmux. Using template $paramod$59b03ae2620a41577de8da5f5c97b2919e82362b\_90_pmux for cells of type $pmux. Using template $paramod$54d740639e1393b22262823179ff783ea9f17a35\_90_pmux for cells of type $pmux. Using template $paramod$cc1e387d9d5ac1d3f6e6bed180038d9c0ac48d0c\_90_pmux for cells of type $pmux. Using template $paramod$8fabc56b80a569262acfc42757a02ca0b8e91278\_90_pmux for cells of type $pmux. Using template $paramod$c3cd1564c35d873179656addd6052d7ea8b6d991\_80_ecp5_alu for cells of type $alu. Using template $paramod$610911f8769324f781128d641f7fe2120143c328\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $lut. Using template $paramod$8a99b868050f542c83270fc93de09787e35f2c64\_80_ecp5_alu for cells of type $alu. Using template $paramod$3ef274a4ad1e446c08416d1cf6cb5ab03146d407\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $bmux. Using template $paramod$constmap:015b190ba6b2ce546cfca17313892c7945c11bb3$paramod$c45236e98c7f382da60adc6b3c97cacaca0f6c18\_90_shift_shiftx for cells of type $shiftx. Using template $paramod$constmap:0be6ee0ec1e7023ee17ced113c9cc4eab761e1e1$paramod$ddb301cfe28f3df0e42a2fb2f287572ea3f4d7bf\_90_shift_shiftx for cells of type $shift. Using template $paramod$cc80a4e89b0341cb117f5d28b0e7244620640141\_80_ecp5_alu for cells of type $alu. Using template $paramod$constmap:5f895ee5f967a174af3d5e4a0b7b4514da75b6fa$paramod$c3e071d08029eb5a3449880dbcda25775f185186\_90_shift_shiftx for cells of type $shiftx. Using template $paramod$constmap:5e02b09766cea0a854e36b10c14bea57aed3df35$paramod$bbbfb8d158c2bbd137c926e096a3a867b29dc96a\_90_shift_shiftx for cells of type $shiftx. Using template $paramod$b8a7a49af93c9972e4faced050a7ddb2a5968b70\_80_ecp5_alu for cells of type $alu. Using template $paramod$constmap:7774c056e19770a62e0d329ecd0572456e78da3e$paramod$a656ee4ae0a15287cef57173eb57baf23ca19d95\_90_shift_shiftx for cells of type $shift. Using template $paramod$175e67c02b86e96b1288b9dc100122520d7240d8\_90_alu for cells of type $alu. Analyzing pattern of constant bits for this cell: Creating constmapped module `$paramod$constmap:22683441f323046060f5787904c57ed0fc7a0b68$paramod$a656ee4ae0a15287cef57173eb57baf23ca19d95\_90_shift_shiftx'. 34.31.82. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module $paramod$constmap:22683441f323046060f5787904c57ed0fc7a0b68$paramod$a656ee4ae0a15287cef57173eb57baf23ca19d95\_90_shift_shiftx.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $procmux$24623. dead port 2/2 on $mux $procmux$24617. dead port 2/2 on $mux $procmux$24611. dead port 2/2 on $mux $procmux$24605. dead port 2/2 on $mux $procmux$24599. dead port 2/2 on $mux $procmux$24593. dead port 2/2 on $mux $procmux$24587. dead port 2/2 on $mux $procmux$24581. dead port 2/2 on $mux $procmux$24575. Removed 9 multiplexer ports. 34.31.83. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$constmap:22683441f323046060f5787904c57ed0fc7a0b68$paramod$a656ee4ae0a15287cef57173eb57baf23ca19d95\_90_shift_shiftx. Removed 0 unused cells and 14 unused wires. Using template $paramod$constmap:22683441f323046060f5787904c57ed0fc7a0b68$paramod$a656ee4ae0a15287cef57173eb57baf23ca19d95\_90_shift_shiftx for cells of type $shift. Using template $paramod$fbc7873bff55778c0b3173955b7e4bce1d9d6834\_80_ecp5_alu for cells of type $alu. Using template $paramod$73d715d333263ca9cf422f13d07e21664e3ab775\_80_ecp5_alu for cells of type $alu. Using template $paramod$ba698a254f9a5947e85cbe7beae6b161eefc5386\_90_alu for cells of type $alu. Using template $paramod$bf541dd3c0ba8228982b61e7bfbc350a2c253f4c\_90_demux for cells of type $demux. Using template $paramod$b3b6ac92d800c6f07aa48f510f923d86a674e5a7\_90_pmux for cells of type $pmux. Using template $paramod$b098bc6f249c0ac91c4d6e19d54b23c285914115\_90_pmux for cells of type $pmux. Using template $paramod$a75cda08a00cd2ec286ea508f3ad43ec36b77618\_90_pmux for cells of type $pmux. Using template $paramod$c5c783b17ab1d780abfad8cfe6563a0a7b47a3b0\_90_pmux for cells of type $pmux. Using template $paramod$3aec434ea322cab681ad20f744a80c5503010ba7\_90_pmux for cells of type $pmux. Using template $paramod$d629d85c8826a74239b9178d1930215a43b0ceb0\_90_pmux for cells of type $pmux. Using template $paramod$645fe0cc96ae5edb83bff90cc2c78f4a20ca3e3c\_90_pmux for cells of type $pmux. Using template $paramod$49f1dc3dcd6d2c748486fe94c6744a34a19bbafe\_90_pmux for cells of type $pmux. Using template $paramod$44a13d10af618e7fbe7b9aad2f6151ffcee1e2fa\_80_ecp5_alu for cells of type $alu. Using template $paramod$c6baa65225090ac0a120feab1b920965244aa496\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $xor. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$335cfd09f1afa8139c4aafcbbe5f361887b79c5e\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shl. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$feecc7a0dbd012970970f2858f15e786e251f677\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shr. Using template $paramod$constmap:4621fcf06a436d1e2a4080e2ed9866a7d07a6e07$paramod$e765c459d3029c22a22a27989e94858fd9ebfa9c\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshr. Using template $paramod$ed6389a5938b09f91843a91d67becca5abedb1bd\_90_pmux for cells of type $pmux. Using template $paramod$33afdd83bf3811dac2de7a968d39eea5718691bc\_90_pmux for cells of type $pmux. Using template $paramod$95ab7b964273918a033d1324366ecc612d202989\_90_pmux for cells of type $pmux. Using template $paramod$bf2533632d512eac76dd186c0da49367e29b8e98\_90_pmux for cells of type $pmux. Using template $paramod$521ce43182eecb9f60c72393a788160d2c356bf5\_90_pmux for cells of type $pmux. Using template $paramod$c96def1cdcef2eee3c62e5dfb7ba2dd09c9f74dd\_90_pmux for cells of type $pmux. Using template $paramod$97565c3687be688407d1272a293bd9d0ae6852dc\_90_pmux for cells of type $pmux. Using template $paramod$19e9557905baa9d3741d0daa66e2ef076e9bab7d\_90_pmux for cells of type $pmux. Using template $paramod$788c3d57e5abb3a3f89aea6d4acd665be37f4e9b\_80_ecp5_alu for cells of type $alu. Using template $paramod$b6b58933bcf3c8b9e3e5de18c2637bd0e12c7c47\_80_ecp5_alu for cells of type $alu. Using template $paramod$c04af8dbf0e5d1d69bbccb2c7bd8a93fc9ef54dc\_80_ecp5_alu for cells of type $alu. Using extmapper simplemap for cells of type $pos. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000100 for cells of type $lcu. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000010 for cells of type $lcu. Using template $paramod\_90_lcu_brent_kung\WIDTH=32'00000000000000000000000000000011 for cells of type $lcu. Using template $paramod$e4e871699ef984d49b67460a907fd39b385c5c53\_90_demux for cells of type $demux. Using template $paramod$46c272b461898a6a76adeeeedb0917ce1a81b478\_90_demux for cells of type $demux. Using template $paramod$63301a5e78ceb1f2c17a4ac1894f292ed5701c1f\_90_demux for cells of type $demux. Using template $paramod$5d1d2614b24accd0f9d06c4779fd9ef771faf494\_90_demux for cells of type $demux. No more expansions possible. 34.32. Executing OPT pass (performing simple optimizations). 34.32.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.32.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2245 cells. 34.32.3. Executing OPT_DFF pass (perform DFF optimizations). 34.32.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 9258 unused cells and 8970 unused wires. 34.32.5. Finished fast OPT passes. 34.33. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.34. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). 34.35. Executing TECHMAP pass (map to technology primitives). 34.35.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/cells_map.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. Generating RTLIL representation for module `\$_DFFE_PN_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_DFFE_NP0N_'. Generating RTLIL representation for module `\$_DFFE_NP1N_'. Generating RTLIL representation for module `\$_DFFE_PP0N_'. Generating RTLIL representation for module `\$_DFFE_PP1N_'. Generating RTLIL representation for module `\$_SDFFE_NP0P_'. Generating RTLIL representation for module `\$_SDFFE_NP1P_'. Generating RTLIL representation for module `\$_SDFFE_PP0P_'. Generating RTLIL representation for module `\$_SDFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFFE_NP0N_'. Generating RTLIL representation for module `\$_SDFFE_NP1N_'. Generating RTLIL representation for module `\$_SDFFE_PP0N_'. Generating RTLIL representation for module `\$_SDFFE_PP1N_'. Generating RTLIL representation for module `\$_ALDFF_NP_'. Generating RTLIL representation for module `\$_ALDFF_PP_'. Generating RTLIL representation for module `\$_ALDFFE_NPN_'. Generating RTLIL representation for module `\$_ALDFFE_NPP_'. Generating RTLIL representation for module `\$_ALDFFE_PPN_'. Generating RTLIL representation for module `\$_ALDFFE_PPP_'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Successfully finished Verilog frontend. 34.35.2. Continuing TECHMAP pass. Using template $paramod\$_DFF_P_\_TECHMAP_WIREINIT_Q_=1'0 for cells of type $_DFF_P_. Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFFE_PP_. Using template \$_SDFFE_PP0P_ for cells of type $_SDFFE_PP0P_. Using template $paramod\$_DFF_P_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFF_P_. Using template $paramod\$_DFFE_PN_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFFE_PN_. Using template \$_SDFF_PP0_ for cells of type $_SDFF_PP0_. Using template \$_SDFFE_PP1P_ for cells of type $_SDFFE_PP1P_. No more expansions possible. 34.36. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.37. Executing SIMPLEMAP pass (map simple cells to gate primitives). 34.38. Executing LATTICE_GSR pass (implement FF init values). Handling GSR in processorci_top. 34.39. Executing ATTRMVCP pass (move or copy attributes). 34.40. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 19740 unused wires. 34.41. Executing TECHMAP pass (map to technology primitives). 34.41.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/latches_map.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/latches_map.v' to AST representation. Generating RTLIL representation for module `\$_DLATCH_N_'. Generating RTLIL representation for module `\$_DLATCH_P_'. Successfully finished Verilog frontend. 34.41.2. Continuing TECHMAP pass. No more expansions possible. 34.42. Executing ABC9 pass. 34.42.1. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.2. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.3. Executing PROC pass (convert processes to netlists). 34.42.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$123411'. Cleaned up 1 empty switch. 34.42.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$123412 in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Removed a total of 0 dead cases. 34.42.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 22 assignments to connections. 34.42.3.4. Executing PROC_INIT pass (extract init attributes). 34.42.3.5. Executing PROC_ARST pass (detect async resets in processes). 34.42.3.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 34.42.3.7. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$123412'. 1/3: $1$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$123410_EN[3:0]$123417 2/3: $1$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$123410_DATA[3:0]$123418 3/3: $1$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$123410_ADDR[3:0]$123416 Creating decoders for process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$123411'. 34.42.3.8. Executing PROC_DLATCH pass (convert process syncs to latches). 34.42.3.9. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.\i' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123408_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123403_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123398_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123399_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123394_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123407_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123402_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123405_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123396_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123400_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123397_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123409_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123406_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123395_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123404_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:207$123401_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. created direct connection (no actual register cell created). Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$123410_ADDR' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$123412'. created $dff cell `$procdff$123462' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$123410_EN' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$123412'. created $dff cell `$procdff$123463' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/share/synlig/ecp5/cells_sim.v:223$123410_DATA' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$123412'. created $dff cell `$procdff$123464' with positive edge clock. Creating register for signal `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.\muxwre' using process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$123411'. created direct connection (no actual register cell created). 34.42.3.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 34.42.3.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:0$123436'. Found and cleaned up 1 empty switch in `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:221$123412'. Removing empty process `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.$proc$/usr/local/share/synlig/ecp5/cells_sim.v:213$123411'. Cleaned up 1 empty switch. 34.42.3.12. Executing OPT_EXPR pass (perform const folding). 34.42.4. Executing SCC pass (detecting logic loops). Found an SCC: $auto$simplemap.cc:75:simplemap_bitop$30328 $auto$simplemap.cc:75:simplemap_bitop$31330 $auto$ff.cc:266:slice$33441 $auto$simplemap.cc:75:simplemap_bitop$31329 $auto$ff.cc:266:slice$33440 $auto$simplemap.cc:126:simplemap_reduce$31335 $auto$simplemap.cc:75:simplemap_bitop$31328 $auto$simplemap.cc:126:simplemap_reduce$36629 $auto$ff.cc:266:slice$33439 $auto$simplemap.cc:75:simplemap_bitop$31327 $auto$ff.cc:266:slice$33438 $auto$simplemap.cc:196:simplemap_lognot$31341 $auto$simplemap.cc:126:simplemap_reduce$31339 $auto$simplemap.cc:126:simplemap_reduce$31337 $auto$simplemap.cc:126:simplemap_reduce$31334 $auto$simplemap.cc:75:simplemap_bitop$31326 $auto$opt_expr.cc:617:replace_const_cells$117671 $auto$simplemap.cc:225:simplemap_logbin$31349 $auto$simplemap.cc:126:simplemap_reduce$31348 $auto$simplemap.cc:126:simplemap_reduce$36506 $auto$simplemap.cc:126:simplemap_reduce$36499 $auto$ff.cc:266:slice$33437 $auto$simplemap.cc:75:simplemap_bitop$34044 $auto$ff.cc:266:slice$33436 $auto$simplemap.cc:75:simplemap_bitop$34043 $auto$ff.cc:266:slice$33435 $auto$simplemap.cc:126:simplemap_reduce$31299 $auto$simplemap.cc:126:simplemap_reduce$34049 $auto$simplemap.cc:75:simplemap_bitop$34042 $auto$ff.cc:266:slice$33434 $auto$simplemap.cc:75:simplemap_bitop$34041 $auto$ff.cc:266:slice$33433 $auto$simplemap.cc:126:simplemap_reduce$31303 $auto$simplemap.cc:126:simplemap_reduce$31301 $auto$simplemap.cc:126:simplemap_reduce$31298 $auto$opt_expr.cc:617:replace_const_cells$117409 $auto$simplemap.cc:225:simplemap_logbin$31304 $auto$simplemap.cc:196:simplemap_lognot$34055 $auto$simplemap.cc:126:simplemap_reduce$34053 $auto$simplemap.cc:126:simplemap_reduce$34051 $auto$simplemap.cc:126:simplemap_reduce$34048 $auto$simplemap.cc:75:simplemap_bitop$34040 $auto$ff.cc:266:slice$33432 $auto$opt_expr.cc:617:replace_const_cells$117657 $auto$ff.cc:266:slice$33423 $auto$opt_expr.cc:617:replace_const_cells$117659 $auto$ff.cc:266:slice$33422 $auto$simplemap.cc:126:simplemap_reduce$33753 $auto$simplemap.cc:126:simplemap_reduce$33750 $auto$simplemap.cc:126:simplemap_reduce$33775 $auto$simplemap.cc:126:simplemap_reduce$33772 $auto$opt_expr.cc:617:replace_const_cells$117649 $auto$simplemap.cc:126:simplemap_reduce$33885 $auto$simplemap.cc:126:simplemap_reduce$33882 $auto$simplemap.cc:126:simplemap_reduce$33731 $auto$simplemap.cc:126:simplemap_reduce$34012 $auto$simplemap.cc:126:simplemap_reduce$34009 $auto$ff.cc:266:slice$33421 $auto$opt_expr.cc:617:replace_const_cells$117637 $auto$ff.cc:266:slice$33420 $auto$simplemap.cc:126:simplemap_reduce$33881 $auto$simplemap.cc:126:simplemap_reduce$33676 $auto$simplemap.cc:126:simplemap_reduce$34008 $auto$opt_expr.cc:617:replace_const_cells$117655 $auto$ff.cc:266:slice$33419 $auto$opt_expr.cc:617:replace_const_cells$117653 $auto$ff.cc:266:slice$33418 $auto$ff.cc:266:slice$33416 $auto$ff.cc:266:slice$33415 $auto$ff.cc:266:slice$33414 $auto$ff.cc:266:slice$33413 $auto$ff.cc:266:slice$33412 $auto$ff.cc:266:slice$33411 $auto$ff.cc:266:slice$33410 $auto$ff.cc:266:slice$33409 $auto$ff.cc:266:slice$33408 $auto$ff.cc:266:slice$33407 $auto$ff.cc:266:slice$33406 $auto$ff.cc:266:slice$33405 $auto$ff.cc:266:slice$33404 $auto$ff.cc:266:slice$33403 $auto$ff.cc:266:slice$33402 $auto$ff.cc:266:slice$33401 $auto$ff.cc:266:slice$33400 $auto$ff.cc:266:slice$33399 $auto$ff.cc:266:slice$33398 $auto$ff.cc:266:slice$33397 $auto$ff.cc:266:slice$33396 $auto$ff.cc:266:slice$33395 $auto$ff.cc:266:slice$33394 $auto$ff.cc:266:slice$33393 $auto$ff.cc:266:slice$33392 $auto$ff.cc:266:slice$33391 $auto$ff.cc:266:slice$33390 $auto$ff.cc:266:slice$33389 $auto$ff.cc:266:slice$33388 $auto$ff.cc:266:slice$33387 $auto$ff.cc:266:slice$33386 $auto$simplemap.cc:196:simplemap_lognot$33684 $auto$simplemap.cc:126:simplemap_reduce$33682 $auto$simplemap.cc:126:simplemap_reduce$33679 $auto$simplemap.cc:196:simplemap_lognot$33713 $auto$simplemap.cc:126:simplemap_reduce$33711 $auto$simplemap.cc:126:simplemap_reduce$33687 $auto$simplemap.cc:196:simplemap_lognot$33735 $auto$simplemap.cc:126:simplemap_reduce$33733 $auto$simplemap.cc:196:simplemap_lognot$33889 $auto$simplemap.cc:126:simplemap_reduce$33887 $auto$simplemap.cc:126:simplemap_reduce$33884 $auto$simplemap.cc:196:simplemap_lognot$33757 $auto$simplemap.cc:126:simplemap_reduce$33755 $auto$simplemap.cc:126:simplemap_reduce$33658 $auto$simplemap.cc:75:simplemap_bitop$76908 $auto$simplemap.cc:75:simplemap_bitop$76909 $auto$simplemap.cc:75:simplemap_bitop$76910 $auto$simplemap.cc:75:simplemap_bitop$76911 $auto$simplemap.cc:75:simplemap_bitop$76912 $auto$simplemap.cc:75:simplemap_bitop$76913 $auto$simplemap.cc:75:simplemap_bitop$76914 $auto$simplemap.cc:75:simplemap_bitop$76915 $auto$simplemap.cc:75:simplemap_bitop$76916 $auto$simplemap.cc:75:simplemap_bitop$76917 $auto$simplemap.cc:75:simplemap_bitop$76918 $auto$simplemap.cc:75:simplemap_bitop$76919 $auto$simplemap.cc:75:simplemap_bitop$76920 $auto$simplemap.cc:75:simplemap_bitop$76921 $auto$simplemap.cc:75:simplemap_bitop$76922 $auto$simplemap.cc:75:simplemap_bitop$76923 $auto$simplemap.cc:75:simplemap_bitop$76924 $auto$simplemap.cc:75:simplemap_bitop$76925 $auto$simplemap.cc:75:simplemap_bitop$76926 $auto$simplemap.cc:75:simplemap_bitop$76927 $auto$simplemap.cc:75:simplemap_bitop$76928 $auto$simplemap.cc:75:simplemap_bitop$76929 $auto$simplemap.cc:75:simplemap_bitop$76930 $auto$simplemap.cc:75:simplemap_bitop$76931 $auto$simplemap.cc:75:simplemap_bitop$76932 $auto$simplemap.cc:75:simplemap_bitop$76933 $auto$simplemap.cc:75:simplemap_bitop$76934 $auto$simplemap.cc:75:simplemap_bitop$76935 $auto$simplemap.cc:75:simplemap_bitop$76936 $auto$simplemap.cc:75:simplemap_bitop$76937 $auto$simplemap.cc:75:simplemap_bitop$76938 $auto$simplemap.cc:75:simplemap_bitop$76939 $auto$simplemap.cc:126:simplemap_reduce$33691 $auto$simplemap.cc:126:simplemap_reduce$33689 $auto$simplemap.cc:126:simplemap_reduce$33686 $auto$simplemap.cc:196:simplemap_lognot$33779 $auto$simplemap.cc:126:simplemap_reduce$33777 $auto$simplemap.cc:75:simplemap_bitop$76519 $auto$simplemap.cc:75:simplemap_bitop$76520 $auto$simplemap.cc:75:simplemap_bitop$76521 $auto$simplemap.cc:75:simplemap_bitop$76522 $auto$simplemap.cc:75:simplemap_bitop$76523 $auto$simplemap.cc:75:simplemap_bitop$76524 $auto$simplemap.cc:75:simplemap_bitop$76525 $auto$simplemap.cc:75:simplemap_bitop$76526 $auto$simplemap.cc:75:simplemap_bitop$76527 $auto$simplemap.cc:75:simplemap_bitop$76528 $auto$simplemap.cc:75:simplemap_bitop$76529 $auto$simplemap.cc:75:simplemap_bitop$76530 $auto$simplemap.cc:75:simplemap_bitop$76531 $auto$simplemap.cc:75:simplemap_bitop$76532 $auto$simplemap.cc:75:simplemap_bitop$76533 $auto$simplemap.cc:75:simplemap_bitop$76534 $auto$simplemap.cc:75:simplemap_bitop$76535 $auto$simplemap.cc:75:simplemap_bitop$76536 $auto$simplemap.cc:75:simplemap_bitop$76537 $auto$simplemap.cc:75:simplemap_bitop$76538 $auto$simplemap.cc:75:simplemap_bitop$76539 $auto$simplemap.cc:75:simplemap_bitop$76540 $auto$simplemap.cc:75:simplemap_bitop$76541 $auto$simplemap.cc:75:simplemap_bitop$76542 $auto$simplemap.cc:75:simplemap_bitop$76543 $auto$simplemap.cc:75:simplemap_bitop$76544 $auto$simplemap.cc:75:simplemap_bitop$76545 $auto$simplemap.cc:75:simplemap_bitop$76546 $auto$simplemap.cc:75:simplemap_bitop$76547 $auto$simplemap.cc:75:simplemap_bitop$76548 $auto$simplemap.cc:75:simplemap_bitop$76549 $auto$simplemap.cc:126:simplemap_reduce$76615 $auto$simplemap.cc:126:simplemap_reduce$33660 $auto$simplemap.cc:126:simplemap_reduce$76975 $auto$simplemap.cc:75:simplemap_bitop$76941 $auto$simplemap.cc:126:simplemap_reduce$76977 $auto$simplemap.cc:75:simplemap_bitop$76942 $auto$simplemap.cc:126:simplemap_reduce$77037 $auto$simplemap.cc:126:simplemap_reduce$33662 $auto$simplemap.cc:196:simplemap_lognot$34016 $auto$simplemap.cc:126:simplemap_reduce$34014 $auto$simplemap.cc:126:simplemap_reduce$34011 $auto$simplemap.cc:126:simplemap_reduce$34007 $auto$opt_expr.cc:617:replace_const_cells$117651 $auto$ff.cc:266:slice$33417 $auto$simplemap.cc:75:simplemap_bitop$76518 $auto$ff.cc:266:slice$33385 $auto$simplemap.cc:225:simplemap_logbin$34095 $auto$ff.cc:266:slice$33384 $auto$simplemap.cc:196:simplemap_lognot$31296 $auto$simplemap.cc:126:simplemap_reduce$31294 $auto$simplemap.cc:196:simplemap_lognot$34037 $auto$simplemap.cc:126:simplemap_reduce$34035 $auto$opt_expr.cc:617:replace_const_cells$117407 $auto$simplemap.cc:75:simplemap_bitop$38352 $auto$simplemap.cc:75:simplemap_bitop$38353 $auto$simplemap.cc:75:simplemap_bitop$38354 $auto$simplemap.cc:75:simplemap_bitop$38355 $auto$simplemap.cc:75:simplemap_bitop$38356 $auto$simplemap.cc:75:simplemap_bitop$38357 $auto$simplemap.cc:75:simplemap_bitop$38358 $auto$simplemap.cc:75:simplemap_bitop$38359 $auto$simplemap.cc:75:simplemap_bitop$38360 $auto$simplemap.cc:75:simplemap_bitop$38361 $auto$simplemap.cc:75:simplemap_bitop$38362 $auto$simplemap.cc:75:simplemap_bitop$38363 $auto$simplemap.cc:75:simplemap_bitop$38364 $auto$simplemap.cc:75:simplemap_bitop$38365 $auto$simplemap.cc:75:simplemap_bitop$38366 $auto$simplemap.cc:75:simplemap_bitop$38367 $auto$simplemap.cc:75:simplemap_bitop$38368 $auto$simplemap.cc:75:simplemap_bitop$38369 $auto$simplemap.cc:75:simplemap_bitop$38370 $auto$simplemap.cc:75:simplemap_bitop$38371 $auto$simplemap.cc:75:simplemap_bitop$38372 $auto$simplemap.cc:75:simplemap_bitop$38373 $auto$simplemap.cc:75:simplemap_bitop$38374 $auto$simplemap.cc:75:simplemap_bitop$38375 $auto$simplemap.cc:75:simplemap_bitop$38376 $auto$simplemap.cc:75:simplemap_bitop$38377 $auto$simplemap.cc:75:simplemap_bitop$38378 $auto$simplemap.cc:75:simplemap_bitop$38379 $auto$simplemap.cc:75:simplemap_bitop$38380 $auto$simplemap.cc:75:simplemap_bitop$38381 $auto$simplemap.cc:75:simplemap_bitop$38382 $auto$simplemap.cc:75:simplemap_bitop$38383 $auto$simplemap.cc:126:simplemap_reduce$42240 $auto$simplemap.cc:126:simplemap_reduce$42238 $auto$simplemap.cc:196:simplemap_lognot$31286 $auto$simplemap.cc:126:simplemap_reduce$31284 $auto$simplemap.cc:225:simplemap_logbin$33892 $auto$simplemap.cc:225:simplemap_logbin$33894 $auto$simplemap.cc:196:simplemap_lognot$30009 $auto$opt_expr.cc:617:replace_const_cells$118211 $auto$simplemap.cc:75:simplemap_bitop$31311 $auto$simplemap.cc:75:simplemap_bitop$31356 $auto$ff.cc:266:slice$33383 $auto$simplemap.cc:75:simplemap_bitop$31310 $auto$simplemap.cc:75:simplemap_bitop$31355 $auto$ff.cc:266:slice$33382 $auto$simplemap.cc:126:simplemap_reduce$31316 $auto$simplemap.cc:75:simplemap_bitop$31309 $auto$simplemap.cc:126:simplemap_reduce$31361 $auto$simplemap.cc:75:simplemap_bitop$31354 $auto$ff.cc:266:slice$33381 $auto$simplemap.cc:75:simplemap_bitop$31308 $auto$simplemap.cc:75:simplemap_bitop$31353 $auto$ff.cc:266:slice$33380 $auto$simplemap.cc:225:simplemap_logbin$31323 $auto$simplemap.cc:196:simplemap_lognot$31322 $auto$simplemap.cc:126:simplemap_reduce$31320 $auto$simplemap.cc:126:simplemap_reduce$31318 $auto$simplemap.cc:126:simplemap_reduce$31315 $auto$simplemap.cc:75:simplemap_bitop$31307 $auto$simplemap.cc:75:simplemap_bitop$76680 $auto$simplemap.cc:75:simplemap_bitop$76681 $auto$simplemap.cc:75:simplemap_bitop$76682 $auto$simplemap.cc:75:simplemap_bitop$76683 $auto$simplemap.cc:75:simplemap_bitop$76684 $auto$simplemap.cc:75:simplemap_bitop$76685 $auto$simplemap.cc:75:simplemap_bitop$76686 $auto$simplemap.cc:75:simplemap_bitop$76687 $auto$simplemap.cc:75:simplemap_bitop$76688 $auto$simplemap.cc:75:simplemap_bitop$76689 $auto$simplemap.cc:75:simplemap_bitop$76690 $auto$simplemap.cc:75:simplemap_bitop$76691 $auto$simplemap.cc:75:simplemap_bitop$76692 $auto$simplemap.cc:75:simplemap_bitop$76693 $auto$simplemap.cc:75:simplemap_bitop$76694 $auto$simplemap.cc:75:simplemap_bitop$76695 $auto$simplemap.cc:75:simplemap_bitop$76696 $auto$simplemap.cc:75:simplemap_bitop$76697 $auto$simplemap.cc:75:simplemap_bitop$76698 $auto$simplemap.cc:75:simplemap_bitop$76699 $auto$simplemap.cc:75:simplemap_bitop$76700 $auto$simplemap.cc:75:simplemap_bitop$76701 $auto$simplemap.cc:75:simplemap_bitop$76702 $auto$simplemap.cc:75:simplemap_bitop$76703 $auto$simplemap.cc:75:simplemap_bitop$76704 $auto$simplemap.cc:75:simplemap_bitop$76705 $auto$simplemap.cc:75:simplemap_bitop$76706 $auto$simplemap.cc:75:simplemap_bitop$76707 $auto$simplemap.cc:75:simplemap_bitop$76708 $auto$simplemap.cc:75:simplemap_bitop$76709 $auto$simplemap.cc:75:simplemap_bitop$76710 $auto$simplemap.cc:75:simplemap_bitop$76711 $auto$simplemap.cc:196:simplemap_lognot$31266 $auto$simplemap.cc:126:simplemap_reduce$31264 $auto$simplemap.cc:196:simplemap_lognot$31276 $auto$simplemap.cc:126:simplemap_reduce$31274 $auto$simplemap.cc:126:simplemap_reduce$76875 $auto$simplemap.cc:126:simplemap_reduce$76873 $auto$simplemap.cc:196:simplemap_lognot$34027 $auto$simplemap.cc:126:simplemap_reduce$34025 $auto$opt_expr.cc:617:replace_const_cells$117669 $auto$simplemap.cc:225:simplemap_logbin$34098 $auto$simplemap.cc:126:simplemap_reduce$34100 $auto$simplemap.cc:267:simplemap_mux$31373 $auto$simplemap.cc:225:simplemap_logbin$31368 $auto$simplemap.cc:196:simplemap_lognot$31367 $auto$simplemap.cc:126:simplemap_reduce$31365 $auto$simplemap.cc:126:simplemap_reduce$31363 $auto$simplemap.cc:126:simplemap_reduce$31360 $auto$simplemap.cc:75:simplemap_bitop$31352 $auto$ff.cc:266:slice$33379 $auto$simplemap.cc:75:simplemap_bitop$112195 $auto$simplemap.cc:267:simplemap_mux$76647 $auto$simplemap.cc:267:simplemap_mux$42236 $auto$simplemap.cc:126:simplemap_reduce$42244 $auto$simplemap.cc:75:simplemap_bitop$42172 $auto$simplemap.cc:75:simplemap_bitop$111843 $auto$simplemap.cc:75:simplemap_bitop$31421 $auto$simplemap.cc:75:simplemap_bitop$112003 $auto$simplemap.cc:38:simplemap_not$31517 $auto$simplemap.cc:126:simplemap_reduce$111338 $auto$simplemap.cc:75:simplemap_bitop$111875 $auto$simplemap.cc:75:simplemap_bitop$31453 $auto$simplemap.cc:126:simplemap_reduce$111336 $auto$simplemap.cc:75:simplemap_bitop$111971 $auto$simplemap.cc:75:simplemap_bitop$77165 $auto$simplemap.cc:75:simplemap_bitop$111107 $auto$simplemap.cc:38:simplemap_not$111171 $auto$simplemap.cc:267:simplemap_mux$77069 $auto$simplemap.cc:267:simplemap_mux$76907 $auto$simplemap.cc:126:simplemap_reduce$76871 $auto$simplemap.cc:75:simplemap_bitop$76743 $auto$simplemap.cc:267:simplemap_mux$24316 $auto$simplemap.cc:267:simplemap_mux$76646 $auto$simplemap.cc:267:simplemap_mux$42235 $auto$simplemap.cc:126:simplemap_reduce$42248 $auto$simplemap.cc:75:simplemap_bitop$42171 $auto$simplemap.cc:75:simplemap_bitop$111842 $auto$simplemap.cc:75:simplemap_bitop$31420 $auto$simplemap.cc:75:simplemap_bitop$112002 $auto$simplemap.cc:38:simplemap_not$31516 $auto$simplemap.cc:126:simplemap_reduce$111353 $auto$simplemap.cc:75:simplemap_bitop$111874 $auto$simplemap.cc:75:simplemap_bitop$31452 $auto$simplemap.cc:126:simplemap_reduce$111351 $auto$simplemap.cc:75:simplemap_bitop$111970 $auto$simplemap.cc:75:simplemap_bitop$77164 $auto$simplemap.cc:126:simplemap_reduce$12447 $auto$simplemap.cc:75:simplemap_bitop$111106 $auto$simplemap.cc:38:simplemap_not$111170 $auto$simplemap.cc:267:simplemap_mux$77068 $auto$simplemap.cc:267:simplemap_mux$76906 $auto$simplemap.cc:126:simplemap_reduce$76867 $auto$simplemap.cc:75:simplemap_bitop$76742 $auto$simplemap.cc:267:simplemap_mux$24315 $auto$simplemap.cc:267:simplemap_mux$76645 $auto$simplemap.cc:267:simplemap_mux$42234 $auto$simplemap.cc:126:simplemap_reduce$42252 $auto$simplemap.cc:75:simplemap_bitop$42170 $auto$simplemap.cc:75:simplemap_bitop$111841 $auto$simplemap.cc:75:simplemap_bitop$31419 $auto$simplemap.cc:75:simplemap_bitop$112001 $auto$simplemap.cc:38:simplemap_not$31515 $auto$simplemap.cc:126:simplemap_reduce$111368 $auto$simplemap.cc:75:simplemap_bitop$111873 $auto$simplemap.cc:75:simplemap_bitop$31451 $auto$simplemap.cc:126:simplemap_reduce$111366 $auto$simplemap.cc:75:simplemap_bitop$111969 $auto$simplemap.cc:75:simplemap_bitop$77163 $auto$simplemap.cc:75:simplemap_bitop$111105 $auto$simplemap.cc:38:simplemap_not$77227 $auto$simplemap.cc:267:simplemap_mux$77067 $auto$simplemap.cc:267:simplemap_mux$76905 $auto$simplemap.cc:126:simplemap_reduce$76863 $auto$simplemap.cc:75:simplemap_bitop$76741 $auto$simplemap.cc:267:simplemap_mux$24314 $auto$simplemap.cc:267:simplemap_mux$76644 $auto$simplemap.cc:267:simplemap_mux$42233 $auto$simplemap.cc:126:simplemap_reduce$42256 $auto$simplemap.cc:75:simplemap_bitop$42169 $auto$simplemap.cc:75:simplemap_bitop$111840 $auto$simplemap.cc:75:simplemap_bitop$31418 $auto$simplemap.cc:75:simplemap_bitop$112000 $auto$simplemap.cc:38:simplemap_not$31514 $auto$simplemap.cc:126:simplemap_reduce$111383 $auto$simplemap.cc:75:simplemap_bitop$111872 $auto$simplemap.cc:75:simplemap_bitop$31450 $auto$simplemap.cc:126:simplemap_reduce$111381 $auto$simplemap.cc:75:simplemap_bitop$111968 $auto$simplemap.cc:75:simplemap_bitop$77162 $auto$simplemap.cc:126:simplemap_reduce$12456 $auto$simplemap.cc:126:simplemap_reduce$12446 $auto$simplemap.cc:75:simplemap_bitop$111104 $auto$simplemap.cc:38:simplemap_not$111168 $auto$simplemap.cc:267:simplemap_mux$77066 $auto$simplemap.cc:267:simplemap_mux$76904 $auto$simplemap.cc:126:simplemap_reduce$76859 $auto$simplemap.cc:75:simplemap_bitop$76740 $auto$simplemap.cc:267:simplemap_mux$24313 $auto$simplemap.cc:267:simplemap_mux$76643 $auto$simplemap.cc:267:simplemap_mux$42232 $auto$simplemap.cc:126:simplemap_reduce$42260 $auto$simplemap.cc:75:simplemap_bitop$42168 $auto$simplemap.cc:75:simplemap_bitop$111839 $auto$simplemap.cc:75:simplemap_bitop$31417 $auto$simplemap.cc:75:simplemap_bitop$111999 $auto$simplemap.cc:38:simplemap_not$31513 $auto$simplemap.cc:126:simplemap_reduce$111398 $auto$simplemap.cc:75:simplemap_bitop$111871 $auto$simplemap.cc:75:simplemap_bitop$31449 $auto$simplemap.cc:126:simplemap_reduce$111396 $auto$simplemap.cc:75:simplemap_bitop$111967 $auto$simplemap.cc:75:simplemap_bitop$77161 $auto$simplemap.cc:75:simplemap_bitop$111103 $auto$simplemap.cc:38:simplemap_not$77225 $auto$simplemap.cc:267:simplemap_mux$77065 $auto$simplemap.cc:267:simplemap_mux$76903 $auto$simplemap.cc:126:simplemap_reduce$76855 $auto$simplemap.cc:75:simplemap_bitop$76739 $auto$simplemap.cc:267:simplemap_mux$24312 $auto$simplemap.cc:267:simplemap_mux$76642 $auto$simplemap.cc:267:simplemap_mux$42231 $auto$simplemap.cc:126:simplemap_reduce$42264 $auto$simplemap.cc:75:simplemap_bitop$42167 $auto$simplemap.cc:75:simplemap_bitop$111838 $auto$simplemap.cc:75:simplemap_bitop$31416 $auto$simplemap.cc:75:simplemap_bitop$111998 $auto$simplemap.cc:38:simplemap_not$31512 $auto$simplemap.cc:126:simplemap_reduce$111413 $auto$simplemap.cc:75:simplemap_bitop$111870 $auto$simplemap.cc:75:simplemap_bitop$31448 $auto$simplemap.cc:126:simplemap_reduce$111411 $auto$simplemap.cc:75:simplemap_bitop$111966 $auto$simplemap.cc:75:simplemap_bitop$31480 $auto$simplemap.cc:126:simplemap_reduce$12445 $auto$simplemap.cc:75:simplemap_bitop$111102 $auto$simplemap.cc:38:simplemap_not$77224 $auto$simplemap.cc:267:simplemap_mux$77064 $auto$simplemap.cc:267:simplemap_mux$76902 $auto$simplemap.cc:126:simplemap_reduce$76851 $auto$simplemap.cc:75:simplemap_bitop$76738 $auto$simplemap.cc:267:simplemap_mux$24311 $auto$simplemap.cc:267:simplemap_mux$76641 $auto$simplemap.cc:267:simplemap_mux$42230 $auto$simplemap.cc:126:simplemap_reduce$42268 $auto$simplemap.cc:75:simplemap_bitop$42166 $auto$simplemap.cc:75:simplemap_bitop$111837 $auto$simplemap.cc:75:simplemap_bitop$31415 $auto$simplemap.cc:75:simplemap_bitop$111997 $auto$simplemap.cc:38:simplemap_not$31511 $auto$simplemap.cc:126:simplemap_reduce$111428 $auto$simplemap.cc:75:simplemap_bitop$111869 $auto$simplemap.cc:75:simplemap_bitop$31447 $auto$simplemap.cc:126:simplemap_reduce$111426 $auto$simplemap.cc:75:simplemap_bitop$111965 $auto$simplemap.cc:75:simplemap_bitop$77159 $auto$simplemap.cc:75:simplemap_bitop$111101 $auto$simplemap.cc:38:simplemap_not$77223 $auto$simplemap.cc:267:simplemap_mux$77063 $auto$simplemap.cc:267:simplemap_mux$76901 $auto$simplemap.cc:126:simplemap_reduce$76847 $auto$simplemap.cc:75:simplemap_bitop$76737 $auto$simplemap.cc:267:simplemap_mux$24310 $auto$simplemap.cc:267:simplemap_mux$76640 $auto$simplemap.cc:267:simplemap_mux$42229 $auto$simplemap.cc:126:simplemap_reduce$42272 $auto$simplemap.cc:75:simplemap_bitop$42165 $auto$simplemap.cc:75:simplemap_bitop$111836 $auto$simplemap.cc:75:simplemap_bitop$31414 $auto$simplemap.cc:75:simplemap_bitop$111996 $auto$simplemap.cc:38:simplemap_not$31510 $auto$simplemap.cc:126:simplemap_reduce$111443 $auto$simplemap.cc:75:simplemap_bitop$111868 $auto$simplemap.cc:75:simplemap_bitop$31446 $auto$simplemap.cc:126:simplemap_reduce$111441 $auto$simplemap.cc:75:simplemap_bitop$111964 $auto$simplemap.cc:75:simplemap_bitop$77158 $auto$simplemap.cc:126:simplemap_reduce$12461 $auto$simplemap.cc:126:simplemap_reduce$12455 $auto$simplemap.cc:126:simplemap_reduce$12444 $auto$simplemap.cc:75:simplemap_bitop$111100 $auto$simplemap.cc:38:simplemap_not$77222 $auto$simplemap.cc:267:simplemap_mux$77062 $auto$simplemap.cc:267:simplemap_mux$76900 $auto$simplemap.cc:126:simplemap_reduce$76843 $auto$simplemap.cc:75:simplemap_bitop$76736 $auto$simplemap.cc:267:simplemap_mux$24309 $auto$simplemap.cc:267:simplemap_mux$76639 $auto$simplemap.cc:267:simplemap_mux$42228 $auto$simplemap.cc:126:simplemap_reduce$42276 $auto$simplemap.cc:75:simplemap_bitop$42164 $auto$simplemap.cc:75:simplemap_bitop$111835 $auto$simplemap.cc:75:simplemap_bitop$31413 $auto$simplemap.cc:75:simplemap_bitop$111995 $auto$simplemap.cc:38:simplemap_not$31509 $auto$simplemap.cc:126:simplemap_reduce$111458 $auto$simplemap.cc:75:simplemap_bitop$111867 $auto$simplemap.cc:75:simplemap_bitop$31445 $auto$simplemap.cc:126:simplemap_reduce$111456 $auto$simplemap.cc:75:simplemap_bitop$111963 $auto$simplemap.cc:75:simplemap_bitop$77157 $auto$simplemap.cc:75:simplemap_bitop$111099 $auto$simplemap.cc:38:simplemap_not$77221 $auto$simplemap.cc:267:simplemap_mux$77061 $auto$simplemap.cc:267:simplemap_mux$76899 $auto$simplemap.cc:126:simplemap_reduce$76839 $auto$simplemap.cc:75:simplemap_bitop$76735 $auto$simplemap.cc:267:simplemap_mux$24308 $auto$simplemap.cc:267:simplemap_mux$76638 $auto$simplemap.cc:267:simplemap_mux$42227 $auto$simplemap.cc:126:simplemap_reduce$42280 $auto$simplemap.cc:75:simplemap_bitop$42163 $auto$simplemap.cc:75:simplemap_bitop$111834 $auto$simplemap.cc:75:simplemap_bitop$31412 $auto$simplemap.cc:75:simplemap_bitop$111994 $auto$simplemap.cc:38:simplemap_not$31508 $auto$simplemap.cc:126:simplemap_reduce$111473 $auto$simplemap.cc:75:simplemap_bitop$111866 $auto$simplemap.cc:75:simplemap_bitop$31444 $auto$simplemap.cc:126:simplemap_reduce$111471 $auto$simplemap.cc:75:simplemap_bitop$111962 $auto$simplemap.cc:75:simplemap_bitop$77156 $auto$simplemap.cc:126:simplemap_reduce$12443 $auto$simplemap.cc:75:simplemap_bitop$111098 $auto$simplemap.cc:38:simplemap_not$77220 $auto$simplemap.cc:267:simplemap_mux$77060 $auto$simplemap.cc:267:simplemap_mux$76898 $auto$simplemap.cc:126:simplemap_reduce$76835 $auto$simplemap.cc:75:simplemap_bitop$76734 $auto$simplemap.cc:267:simplemap_mux$24307 $auto$simplemap.cc:267:simplemap_mux$76637 $auto$simplemap.cc:267:simplemap_mux$42226 $auto$simplemap.cc:126:simplemap_reduce$42284 $auto$simplemap.cc:75:simplemap_bitop$42162 $auto$simplemap.cc:75:simplemap_bitop$111833 $auto$simplemap.cc:75:simplemap_bitop$31411 $auto$simplemap.cc:75:simplemap_bitop$111993 $auto$simplemap.cc:38:simplemap_not$31507 $auto$simplemap.cc:126:simplemap_reduce$111488 $auto$simplemap.cc:75:simplemap_bitop$111865 $auto$simplemap.cc:75:simplemap_bitop$31443 $auto$simplemap.cc:126:simplemap_reduce$111486 $auto$simplemap.cc:75:simplemap_bitop$111961 $auto$simplemap.cc:75:simplemap_bitop$77155 $auto$simplemap.cc:75:simplemap_bitop$111097 $auto$simplemap.cc:38:simplemap_not$111161 $auto$simplemap.cc:267:simplemap_mux$77059 $auto$simplemap.cc:267:simplemap_mux$76897 $auto$simplemap.cc:126:simplemap_reduce$76831 $auto$simplemap.cc:75:simplemap_bitop$76733 $auto$simplemap.cc:267:simplemap_mux$24306 $auto$simplemap.cc:267:simplemap_mux$76636 $auto$simplemap.cc:267:simplemap_mux$42225 $auto$simplemap.cc:126:simplemap_reduce$42288 $auto$simplemap.cc:75:simplemap_bitop$42161 $auto$simplemap.cc:75:simplemap_bitop$111832 $auto$simplemap.cc:75:simplemap_bitop$31410 $auto$simplemap.cc:75:simplemap_bitop$111992 $auto$simplemap.cc:38:simplemap_not$31506 $auto$simplemap.cc:126:simplemap_reduce$111503 $auto$simplemap.cc:75:simplemap_bitop$111864 $auto$simplemap.cc:75:simplemap_bitop$31442 $auto$simplemap.cc:126:simplemap_reduce$111501 $auto$simplemap.cc:75:simplemap_bitop$111960 $auto$simplemap.cc:75:simplemap_bitop$77154 $auto$simplemap.cc:126:simplemap_reduce$12454 $auto$simplemap.cc:126:simplemap_reduce$12442 $auto$simplemap.cc:75:simplemap_bitop$111096 $auto$simplemap.cc:38:simplemap_not$111160 $auto$simplemap.cc:267:simplemap_mux$77058 $auto$simplemap.cc:267:simplemap_mux$76896 $auto$simplemap.cc:126:simplemap_reduce$76827 $auto$simplemap.cc:75:simplemap_bitop$76732 $auto$simplemap.cc:267:simplemap_mux$24305 $auto$simplemap.cc:267:simplemap_mux$76635 $auto$simplemap.cc:267:simplemap_mux$42224 $auto$simplemap.cc:126:simplemap_reduce$42292 $auto$simplemap.cc:75:simplemap_bitop$42160 $auto$simplemap.cc:75:simplemap_bitop$111831 $auto$simplemap.cc:75:simplemap_bitop$31409 $auto$simplemap.cc:75:simplemap_bitop$111991 $auto$simplemap.cc:38:simplemap_not$31505 $auto$simplemap.cc:126:simplemap_reduce$111518 $auto$simplemap.cc:75:simplemap_bitop$111863 $auto$simplemap.cc:75:simplemap_bitop$31441 $auto$simplemap.cc:126:simplemap_reduce$111516 $auto$simplemap.cc:75:simplemap_bitop$111959 $auto$simplemap.cc:75:simplemap_bitop$77153 $auto$simplemap.cc:75:simplemap_bitop$111095 $auto$simplemap.cc:38:simplemap_not$111159 $auto$simplemap.cc:267:simplemap_mux$77057 $auto$simplemap.cc:267:simplemap_mux$76895 $auto$simplemap.cc:126:simplemap_reduce$76823 $auto$simplemap.cc:75:simplemap_bitop$76731 $auto$simplemap.cc:267:simplemap_mux$24304 $auto$simplemap.cc:267:simplemap_mux$76634 $auto$simplemap.cc:267:simplemap_mux$42223 $auto$simplemap.cc:126:simplemap_reduce$42296 $auto$simplemap.cc:75:simplemap_bitop$42159 $auto$simplemap.cc:75:simplemap_bitop$111830 $auto$simplemap.cc:75:simplemap_bitop$31408 $auto$simplemap.cc:75:simplemap_bitop$111990 $auto$simplemap.cc:38:simplemap_not$31504 $auto$simplemap.cc:126:simplemap_reduce$111533 $auto$simplemap.cc:75:simplemap_bitop$111862 $auto$simplemap.cc:75:simplemap_bitop$31440 $auto$simplemap.cc:126:simplemap_reduce$111531 $auto$simplemap.cc:75:simplemap_bitop$111958 $auto$simplemap.cc:75:simplemap_bitop$77152 $auto$simplemap.cc:126:simplemap_reduce$12441 $auto$simplemap.cc:75:simplemap_bitop$111094 $auto$simplemap.cc:38:simplemap_not$111158 $auto$simplemap.cc:267:simplemap_mux$77056 $auto$simplemap.cc:267:simplemap_mux$76894 $auto$simplemap.cc:126:simplemap_reduce$76819 $auto$simplemap.cc:75:simplemap_bitop$76730 $auto$simplemap.cc:267:simplemap_mux$24303 $auto$simplemap.cc:267:simplemap_mux$76633 $auto$simplemap.cc:267:simplemap_mux$42222 $auto$simplemap.cc:126:simplemap_reduce$42300 $auto$simplemap.cc:75:simplemap_bitop$42158 $auto$simplemap.cc:75:simplemap_bitop$111829 $auto$simplemap.cc:75:simplemap_bitop$31407 $auto$simplemap.cc:75:simplemap_bitop$111989 $auto$simplemap.cc:38:simplemap_not$31503 $auto$simplemap.cc:126:simplemap_reduce$111548 $auto$simplemap.cc:75:simplemap_bitop$111861 $auto$simplemap.cc:75:simplemap_bitop$31439 $auto$simplemap.cc:126:simplemap_reduce$111546 $auto$simplemap.cc:75:simplemap_bitop$111957 $auto$simplemap.cc:75:simplemap_bitop$77151 $auto$simplemap.cc:75:simplemap_bitop$111093 $auto$simplemap.cc:38:simplemap_not$77215 $auto$simplemap.cc:267:simplemap_mux$77055 $auto$simplemap.cc:267:simplemap_mux$76893 $auto$simplemap.cc:126:simplemap_reduce$76815 $auto$simplemap.cc:75:simplemap_bitop$76729 $auto$simplemap.cc:267:simplemap_mux$24302 $auto$simplemap.cc:267:simplemap_mux$76632 $auto$simplemap.cc:267:simplemap_mux$42221 $auto$simplemap.cc:126:simplemap_reduce$42304 $auto$simplemap.cc:75:simplemap_bitop$42157 $auto$simplemap.cc:75:simplemap_bitop$111828 $auto$simplemap.cc:75:simplemap_bitop$31406 $auto$simplemap.cc:75:simplemap_bitop$111988 $auto$simplemap.cc:38:simplemap_not$31502 $auto$simplemap.cc:126:simplemap_reduce$111563 $auto$simplemap.cc:75:simplemap_bitop$111860 $auto$simplemap.cc:75:simplemap_bitop$31438 $auto$simplemap.cc:126:simplemap_reduce$111561 $auto$simplemap.cc:75:simplemap_bitop$111956 $auto$simplemap.cc:75:simplemap_bitop$77150 $auto$simplemap.cc:126:simplemap_reduce$12464 $auto$simplemap.cc:126:simplemap_reduce$12460 $auto$simplemap.cc:126:simplemap_reduce$12453 $auto$simplemap.cc:126:simplemap_reduce$12440 $auto$simplemap.cc:75:simplemap_bitop$111092 $auto$simplemap.cc:38:simplemap_not$111156 $auto$simplemap.cc:267:simplemap_mux$77054 $auto$simplemap.cc:267:simplemap_mux$76892 $auto$simplemap.cc:126:simplemap_reduce$76811 $auto$simplemap.cc:75:simplemap_bitop$76728 $auto$simplemap.cc:267:simplemap_mux$24301 $auto$simplemap.cc:267:simplemap_mux$76631 $auto$simplemap.cc:267:simplemap_mux$42220 $auto$simplemap.cc:126:simplemap_reduce$42308 $auto$simplemap.cc:75:simplemap_bitop$42156 $auto$simplemap.cc:75:simplemap_bitop$111827 $auto$simplemap.cc:75:simplemap_bitop$31405 $auto$simplemap.cc:75:simplemap_bitop$111987 $auto$simplemap.cc:38:simplemap_not$31501 $auto$simplemap.cc:126:simplemap_reduce$111578 $auto$simplemap.cc:75:simplemap_bitop$111859 $auto$simplemap.cc:75:simplemap_bitop$31437 $auto$simplemap.cc:126:simplemap_reduce$111576 $auto$simplemap.cc:75:simplemap_bitop$111955 $auto$simplemap.cc:75:simplemap_bitop$77149 $auto$simplemap.cc:75:simplemap_bitop$111091 $auto$simplemap.cc:38:simplemap_not$77213 $auto$simplemap.cc:267:simplemap_mux$77053 $auto$simplemap.cc:267:simplemap_mux$76891 $auto$simplemap.cc:126:simplemap_reduce$76807 $auto$simplemap.cc:75:simplemap_bitop$76727 $auto$simplemap.cc:267:simplemap_mux$24300 $auto$simplemap.cc:267:simplemap_mux$76630 $auto$simplemap.cc:267:simplemap_mux$42219 $auto$simplemap.cc:126:simplemap_reduce$37617 $auto$simplemap.cc:75:simplemap_bitop$42155 $auto$simplemap.cc:75:simplemap_bitop$111826 $auto$simplemap.cc:75:simplemap_bitop$31404 $auto$simplemap.cc:75:simplemap_bitop$111986 $auto$simplemap.cc:38:simplemap_not$31500 $auto$simplemap.cc:126:simplemap_reduce$111593 $auto$simplemap.cc:75:simplemap_bitop$111858 $auto$simplemap.cc:75:simplemap_bitop$31436 $auto$simplemap.cc:126:simplemap_reduce$111591 $auto$simplemap.cc:75:simplemap_bitop$111954 $auto$simplemap.cc:75:simplemap_bitop$77148 $auto$simplemap.cc:126:simplemap_reduce$12439 $auto$simplemap.cc:75:simplemap_bitop$111090 $auto$simplemap.cc:38:simplemap_not$77212 $auto$simplemap.cc:267:simplemap_mux$77052 $auto$simplemap.cc:267:simplemap_mux$76890 $auto$simplemap.cc:126:simplemap_reduce$76803 $auto$simplemap.cc:75:simplemap_bitop$76726 $auto$simplemap.cc:267:simplemap_mux$24299 $auto$simplemap.cc:267:simplemap_mux$76629 $auto$simplemap.cc:267:simplemap_mux$42218 $auto$simplemap.cc:126:simplemap_reduce$37613 $auto$simplemap.cc:75:simplemap_bitop$42154 $auto$simplemap.cc:75:simplemap_bitop$111825 $auto$simplemap.cc:75:simplemap_bitop$31403 $auto$simplemap.cc:75:simplemap_bitop$111985 $auto$simplemap.cc:38:simplemap_not$31499 $auto$simplemap.cc:126:simplemap_reduce$111608 $auto$simplemap.cc:75:simplemap_bitop$111857 $auto$simplemap.cc:75:simplemap_bitop$31435 $auto$simplemap.cc:126:simplemap_reduce$111606 $auto$simplemap.cc:75:simplemap_bitop$111953 $auto$simplemap.cc:75:simplemap_bitop$77147 $auto$simplemap.cc:75:simplemap_bitop$111089 $auto$simplemap.cc:38:simplemap_not$111153 $auto$simplemap.cc:267:simplemap_mux$77051 $auto$simplemap.cc:267:simplemap_mux$76889 $auto$simplemap.cc:126:simplemap_reduce$76799 $auto$simplemap.cc:75:simplemap_bitop$76725 $auto$simplemap.cc:267:simplemap_mux$24298 $auto$simplemap.cc:267:simplemap_mux$76628 $auto$simplemap.cc:267:simplemap_mux$42217 $auto$simplemap.cc:126:simplemap_reduce$37609 $auto$simplemap.cc:75:simplemap_bitop$42153 $auto$simplemap.cc:75:simplemap_bitop$111824 $auto$simplemap.cc:75:simplemap_bitop$31402 $auto$simplemap.cc:75:simplemap_bitop$111984 $auto$simplemap.cc:38:simplemap_not$31498 $auto$simplemap.cc:126:simplemap_reduce$111623 $auto$simplemap.cc:75:simplemap_bitop$111856 $auto$simplemap.cc:75:simplemap_bitop$31434 $auto$simplemap.cc:126:simplemap_reduce$111621 $auto$simplemap.cc:75:simplemap_bitop$111952 $auto$simplemap.cc:75:simplemap_bitop$77146 $auto$simplemap.cc:126:simplemap_reduce$12452 $auto$simplemap.cc:126:simplemap_reduce$12438 $auto$simplemap.cc:75:simplemap_bitop$111088 $auto$simplemap.cc:38:simplemap_not$111152 $auto$simplemap.cc:267:simplemap_mux$77050 $auto$simplemap.cc:267:simplemap_mux$76888 $auto$simplemap.cc:126:simplemap_reduce$76795 $auto$simplemap.cc:75:simplemap_bitop$76724 $auto$simplemap.cc:267:simplemap_mux$24297 $auto$simplemap.cc:267:simplemap_mux$76627 $auto$simplemap.cc:267:simplemap_mux$42216 $auto$simplemap.cc:126:simplemap_reduce$37601 $auto$simplemap.cc:75:simplemap_bitop$42152 $auto$simplemap.cc:75:simplemap_bitop$111823 $auto$simplemap.cc:75:simplemap_bitop$31401 $auto$simplemap.cc:75:simplemap_bitop$111983 $auto$simplemap.cc:38:simplemap_not$31497 $auto$simplemap.cc:126:simplemap_reduce$111638 $auto$simplemap.cc:75:simplemap_bitop$111855 $auto$simplemap.cc:75:simplemap_bitop$31433 $auto$simplemap.cc:126:simplemap_reduce$111636 $auto$simplemap.cc:75:simplemap_bitop$111951 $auto$simplemap.cc:75:simplemap_bitop$31465 $auto$simplemap.cc:75:simplemap_bitop$111087 $auto$simplemap.cc:38:simplemap_not$77209 $auto$simplemap.cc:267:simplemap_mux$77049 $auto$simplemap.cc:267:simplemap_mux$76887 $auto$simplemap.cc:126:simplemap_reduce$76791 $auto$simplemap.cc:75:simplemap_bitop$76723 $auto$simplemap.cc:267:simplemap_mux$24296 $auto$simplemap.cc:267:simplemap_mux$76626 $auto$simplemap.cc:267:simplemap_mux$42215 $auto$simplemap.cc:126:simplemap_reduce$37597 $auto$simplemap.cc:75:simplemap_bitop$42151 $auto$simplemap.cc:75:simplemap_bitop$111822 $auto$simplemap.cc:75:simplemap_bitop$31400 $auto$simplemap.cc:75:simplemap_bitop$111982 $auto$simplemap.cc:38:simplemap_not$31496 $auto$simplemap.cc:126:simplemap_reduce$111653 $auto$simplemap.cc:75:simplemap_bitop$111854 $auto$simplemap.cc:75:simplemap_bitop$31432 $auto$simplemap.cc:126:simplemap_reduce$111651 $auto$simplemap.cc:75:simplemap_bitop$111950 $auto$simplemap.cc:75:simplemap_bitop$77144 $auto$simplemap.cc:126:simplemap_reduce$12437 $auto$simplemap.cc:75:simplemap_bitop$111086 $auto$simplemap.cc:38:simplemap_not$77208 $auto$simplemap.cc:267:simplemap_mux$77048 $auto$simplemap.cc:267:simplemap_mux$76886 $auto$simplemap.cc:126:simplemap_reduce$76787 $auto$simplemap.cc:75:simplemap_bitop$76722 $auto$simplemap.cc:267:simplemap_mux$24295 $auto$simplemap.cc:267:simplemap_mux$76625 $auto$simplemap.cc:267:simplemap_mux$42214 $auto$simplemap.cc:126:simplemap_reduce$37621 $auto$simplemap.cc:75:simplemap_bitop$42150 $auto$simplemap.cc:75:simplemap_bitop$111821 $auto$simplemap.cc:75:simplemap_bitop$31399 $auto$simplemap.cc:75:simplemap_bitop$111981 $auto$simplemap.cc:38:simplemap_not$31495 $auto$simplemap.cc:126:simplemap_reduce$111668 $auto$simplemap.cc:75:simplemap_bitop$111853 $auto$simplemap.cc:75:simplemap_bitop$31431 $auto$simplemap.cc:126:simplemap_reduce$111666 $auto$simplemap.cc:75:simplemap_bitop$111949 $auto$simplemap.cc:75:simplemap_bitop$77143 $auto$simplemap.cc:75:simplemap_bitop$111085 $auto$simplemap.cc:38:simplemap_not$77207 $auto$simplemap.cc:267:simplemap_mux$77047 $auto$simplemap.cc:267:simplemap_mux$76885 $auto$simplemap.cc:126:simplemap_reduce$76783 $auto$simplemap.cc:75:simplemap_bitop$76721 $auto$simplemap.cc:267:simplemap_mux$24294 $auto$simplemap.cc:267:simplemap_mux$76624 $auto$simplemap.cc:267:simplemap_mux$42213 $auto$simplemap.cc:126:simplemap_reduce$37605 $auto$simplemap.cc:75:simplemap_bitop$42149 $auto$simplemap.cc:75:simplemap_bitop$111820 $auto$simplemap.cc:75:simplemap_bitop$31398 $auto$simplemap.cc:75:simplemap_bitop$111980 $auto$simplemap.cc:38:simplemap_not$31494 $auto$simplemap.cc:126:simplemap_reduce$111683 $auto$simplemap.cc:75:simplemap_bitop$111852 $auto$simplemap.cc:75:simplemap_bitop$31430 $auto$simplemap.cc:126:simplemap_reduce$111681 $auto$simplemap.cc:75:simplemap_bitop$111948 $auto$simplemap.cc:75:simplemap_bitop$31462 $auto$simplemap.cc:126:simplemap_reduce$12459 $auto$simplemap.cc:126:simplemap_reduce$12451 $auto$simplemap.cc:126:simplemap_reduce$12436 $auto$simplemap.cc:75:simplemap_bitop$111084 $auto$simplemap.cc:38:simplemap_not$111148 $auto$simplemap.cc:267:simplemap_mux$77046 $auto$simplemap.cc:267:simplemap_mux$76884 $auto$simplemap.cc:126:simplemap_reduce$76779 $auto$simplemap.cc:75:simplemap_bitop$76720 $auto$simplemap.cc:267:simplemap_mux$24293 $auto$simplemap.cc:267:simplemap_mux$76623 $auto$simplemap.cc:267:simplemap_mux$42212 $auto$simplemap.cc:126:simplemap_reduce$42315 $auto$simplemap.cc:75:simplemap_bitop$42148 $auto$simplemap.cc:75:simplemap_bitop$111819 $auto$simplemap.cc:75:simplemap_bitop$31397 $auto$simplemap.cc:75:simplemap_bitop$111979 $auto$simplemap.cc:38:simplemap_not$31493 $auto$simplemap.cc:126:simplemap_reduce$111698 $auto$simplemap.cc:75:simplemap_bitop$111851 $auto$simplemap.cc:75:simplemap_bitop$31429 $auto$simplemap.cc:126:simplemap_reduce$111696 $auto$simplemap.cc:75:simplemap_bitop$111947 $auto$simplemap.cc:75:simplemap_bitop$77141 $auto$simplemap.cc:75:simplemap_bitop$111083 $auto$simplemap.cc:38:simplemap_not$111147 $auto$simplemap.cc:267:simplemap_mux$77045 $auto$simplemap.cc:267:simplemap_mux$76883 $auto$simplemap.cc:126:simplemap_reduce$76775 $auto$simplemap.cc:75:simplemap_bitop$76719 $auto$simplemap.cc:267:simplemap_mux$24292 $auto$simplemap.cc:267:simplemap_mux$76622 $auto$simplemap.cc:267:simplemap_mux$42211 $auto$simplemap.cc:126:simplemap_reduce$37823 $auto$simplemap.cc:75:simplemap_bitop$42147 $auto$simplemap.cc:75:simplemap_bitop$111818 $auto$simplemap.cc:75:simplemap_bitop$31396 $auto$simplemap.cc:75:simplemap_bitop$111978 $auto$simplemap.cc:38:simplemap_not$31492 $auto$simplemap.cc:126:simplemap_reduce$111713 $auto$simplemap.cc:75:simplemap_bitop$111850 $auto$simplemap.cc:75:simplemap_bitop$31428 $auto$simplemap.cc:126:simplemap_reduce$111711 $auto$simplemap.cc:75:simplemap_bitop$111946 $auto$simplemap.cc:75:simplemap_bitop$77140 $auto$simplemap.cc:126:simplemap_reduce$12435 $auto$simplemap.cc:75:simplemap_bitop$111082 $auto$simplemap.cc:38:simplemap_not$77204 $auto$simplemap.cc:267:simplemap_mux$77044 $auto$simplemap.cc:267:simplemap_mux$76882 $auto$simplemap.cc:126:simplemap_reduce$76771 $auto$simplemap.cc:75:simplemap_bitop$76718 $auto$simplemap.cc:267:simplemap_mux$24291 $auto$simplemap.cc:267:simplemap_mux$76621 $auto$simplemap.cc:267:simplemap_mux$42210 $auto$simplemap.cc:126:simplemap_reduce$37803 $auto$simplemap.cc:75:simplemap_bitop$42146 $auto$simplemap.cc:75:simplemap_bitop$111817 $auto$simplemap.cc:75:simplemap_bitop$31395 $auto$simplemap.cc:75:simplemap_bitop$111977 $auto$simplemap.cc:38:simplemap_not$31491 $auto$simplemap.cc:126:simplemap_reduce$111728 $auto$simplemap.cc:75:simplemap_bitop$111849 $auto$simplemap.cc:75:simplemap_bitop$31427 $auto$simplemap.cc:126:simplemap_reduce$111726 $auto$simplemap.cc:75:simplemap_bitop$111945 $auto$simplemap.cc:75:simplemap_bitop$31459 $auto$simplemap.cc:75:simplemap_bitop$111081 $auto$simplemap.cc:38:simplemap_not$77203 $auto$simplemap.cc:267:simplemap_mux$77043 $auto$simplemap.cc:267:simplemap_mux$76881 $auto$simplemap.cc:126:simplemap_reduce$76767 $auto$simplemap.cc:75:simplemap_bitop$76717 $auto$simplemap.cc:267:simplemap_mux$24290 $auto$simplemap.cc:267:simplemap_mux$76620 $auto$simplemap.cc:267:simplemap_mux$42209 $auto$simplemap.cc:126:simplemap_reduce$37799 $auto$simplemap.cc:75:simplemap_bitop$42145 $auto$simplemap.cc:75:simplemap_bitop$111816 $auto$simplemap.cc:75:simplemap_bitop$31394 $auto$simplemap.cc:75:simplemap_bitop$111976 $auto$simplemap.cc:38:simplemap_not$31490 $auto$simplemap.cc:126:simplemap_reduce$111743 $auto$simplemap.cc:75:simplemap_bitop$111848 $auto$simplemap.cc:75:simplemap_bitop$31426 $auto$simplemap.cc:126:simplemap_reduce$111741 $auto$simplemap.cc:75:simplemap_bitop$111944 $auto$simplemap.cc:75:simplemap_bitop$77138 $auto$simplemap.cc:126:simplemap_reduce$12450 $auto$simplemap.cc:126:simplemap_reduce$12434 $auto$simplemap.cc:75:simplemap_bitop$111080 $auto$simplemap.cc:38:simplemap_not$77202 $auto$simplemap.cc:267:simplemap_mux$77042 $auto$simplemap.cc:267:simplemap_mux$76880 $auto$simplemap.cc:126:simplemap_reduce$76763 $auto$simplemap.cc:75:simplemap_bitop$76716 $auto$simplemap.cc:267:simplemap_mux$24289 $auto$simplemap.cc:267:simplemap_mux$76619 $auto$simplemap.cc:267:simplemap_mux$42208 $auto$simplemap.cc:126:simplemap_reduce$37795 $auto$simplemap.cc:75:simplemap_bitop$42144 $auto$simplemap.cc:75:simplemap_bitop$111815 $auto$simplemap.cc:75:simplemap_bitop$31393 $auto$simplemap.cc:75:simplemap_bitop$111975 $auto$simplemap.cc:38:simplemap_not$31489 $auto$simplemap.cc:126:simplemap_reduce$111758 $auto$simplemap.cc:75:simplemap_bitop$111847 $auto$simplemap.cc:75:simplemap_bitop$31425 $auto$simplemap.cc:126:simplemap_reduce$111756 $auto$simplemap.cc:75:simplemap_bitop$111943 $auto$simplemap.cc:75:simplemap_bitop$77137 $auto$simplemap.cc:75:simplemap_bitop$111079 $auto$simplemap.cc:38:simplemap_not$111143 $auto$simplemap.cc:267:simplemap_mux$77041 $auto$simplemap.cc:267:simplemap_mux$76879 $auto$simplemap.cc:126:simplemap_reduce$76759 $auto$simplemap.cc:75:simplemap_bitop$76715 $auto$simplemap.cc:267:simplemap_mux$24288 $auto$simplemap.cc:267:simplemap_mux$76618 $auto$simplemap.cc:267:simplemap_mux$42207 $auto$simplemap.cc:126:simplemap_reduce$37791 $auto$simplemap.cc:75:simplemap_bitop$42143 $auto$simplemap.cc:75:simplemap_bitop$111814 $auto$simplemap.cc:75:simplemap_bitop$31392 $auto$simplemap.cc:75:simplemap_bitop$111974 $auto$simplemap.cc:38:simplemap_not$31488 $auto$simplemap.cc:126:simplemap_reduce$111773 $auto$simplemap.cc:75:simplemap_bitop$111846 $auto$simplemap.cc:75:simplemap_bitop$31424 $auto$simplemap.cc:126:simplemap_reduce$111771 $auto$simplemap.cc:75:simplemap_bitop$111942 $auto$simplemap.cc:75:simplemap_bitop$77136 $auto$simplemap.cc:126:simplemap_reduce$12433 $auto$simplemap.cc:75:simplemap_bitop$111078 $auto$simplemap.cc:38:simplemap_not$111142 $auto$simplemap.cc:267:simplemap_mux$77040 $auto$simplemap.cc:267:simplemap_mux$76878 $auto$simplemap.cc:126:simplemap_reduce$76755 $auto$simplemap.cc:75:simplemap_bitop$76714 $auto$simplemap.cc:267:simplemap_mux$24287 $auto$simplemap.cc:267:simplemap_mux$76617 $auto$simplemap.cc:267:simplemap_mux$42206 $auto$simplemap.cc:126:simplemap_reduce$37787 $auto$simplemap.cc:75:simplemap_bitop$42142 $auto$simplemap.cc:75:simplemap_bitop$111813 $auto$simplemap.cc:75:simplemap_bitop$31391 $auto$simplemap.cc:75:simplemap_bitop$111973 $auto$simplemap.cc:38:simplemap_not$31487 $auto$simplemap.cc:126:simplemap_reduce$111788 $auto$simplemap.cc:75:simplemap_bitop$111845 $auto$simplemap.cc:75:simplemap_bitop$31423 $auto$simplemap.cc:126:simplemap_reduce$111786 $auto$simplemap.cc:75:simplemap_bitop$111941 $auto$simplemap.cc:75:simplemap_bitop$77135 $auto$simplemap.cc:75:simplemap_bitop$111077 $auto$simplemap.cc:38:simplemap_not$77199 $auto$simplemap.cc:267:simplemap_mux$77039 $auto$simplemap.cc:267:simplemap_mux$76877 $auto$simplemap.cc:126:simplemap_reduce$76751 $auto$simplemap.cc:75:simplemap_bitop$76713 $auto$simplemap.cc:267:simplemap_mux$24286 $auto$simplemap.cc:267:simplemap_mux$76616 $auto$simplemap.cc:267:simplemap_mux$42205 $auto$simplemap.cc:126:simplemap_reduce$37782 $auto$simplemap.cc:75:simplemap_bitop$42141 $auto$simplemap.cc:75:simplemap_bitop$111812 $auto$simplemap.cc:75:simplemap_bitop$31390 $auto$simplemap.cc:75:simplemap_bitop$111972 $auto$simplemap.cc:38:simplemap_not$31486 $auto$simplemap.cc:126:simplemap_reduce$111803 $auto$simplemap.cc:75:simplemap_bitop$111844 $auto$simplemap.cc:75:simplemap_bitop$31422 $auto$simplemap.cc:126:simplemap_reduce$111801 $auto$simplemap.cc:75:simplemap_bitop$111940 $auto$simplemap.cc:75:simplemap_bitop$77134 $auto$simplemap.cc:75:simplemap_bitop$111906 $auto$simplemap.cc:75:simplemap_bitop$111907 $auto$alumacc.cc:485:replace_alu$6929.slice[30].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111904 $auto$simplemap.cc:75:simplemap_bitop$111905 $auto$alumacc.cc:485:replace_alu$6929.slice[28].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111902 $auto$simplemap.cc:75:simplemap_bitop$111903 $auto$alumacc.cc:485:replace_alu$6929.slice[26].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111900 $auto$simplemap.cc:75:simplemap_bitop$111901 $auto$alumacc.cc:485:replace_alu$6929.slice[24].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111898 $auto$simplemap.cc:75:simplemap_bitop$111899 $auto$alumacc.cc:485:replace_alu$6929.slice[22].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111896 $auto$simplemap.cc:75:simplemap_bitop$111897 $auto$alumacc.cc:485:replace_alu$6929.slice[20].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111894 $auto$simplemap.cc:75:simplemap_bitop$111895 $auto$alumacc.cc:485:replace_alu$6929.slice[18].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111892 $auto$simplemap.cc:75:simplemap_bitop$111893 $auto$alumacc.cc:485:replace_alu$6929.slice[16].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111890 $auto$simplemap.cc:75:simplemap_bitop$111891 $auto$alumacc.cc:485:replace_alu$6929.slice[14].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111888 $auto$simplemap.cc:75:simplemap_bitop$111889 $auto$alumacc.cc:485:replace_alu$6929.slice[12].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111886 $auto$simplemap.cc:75:simplemap_bitop$111887 $auto$alumacc.cc:485:replace_alu$6929.slice[10].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111884 $auto$simplemap.cc:75:simplemap_bitop$111885 $auto$alumacc.cc:485:replace_alu$6929.slice[8].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111882 $auto$simplemap.cc:75:simplemap_bitop$111883 $auto$alumacc.cc:485:replace_alu$6929.slice[6].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111880 $auto$simplemap.cc:75:simplemap_bitop$111881 $auto$alumacc.cc:485:replace_alu$6929.slice[4].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111878 $auto$simplemap.cc:75:simplemap_bitop$111879 $auto$alumacc.cc:485:replace_alu$6929.slice[2].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$111876 $auto$simplemap.cc:75:simplemap_bitop$111877 $auto$alumacc.cc:485:replace_alu$6929.slice[0].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$112036 $auto$simplemap.cc:38:simplemap_not$34576 $auto$simplemap.cc:126:simplemap_reduce$111357 $auto$simplemap.cc:126:simplemap_reduce$111352 $auto$simplemap.cc:75:simplemap_bitop$111938 $auto$simplemap.cc:126:simplemap_reduce$111342 $auto$simplemap.cc:126:simplemap_reduce$111337 $auto$simplemap.cc:75:simplemap_bitop$111939 $auto$alumacc.cc:485:replace_alu$6932.slice[30].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111387 $auto$simplemap.cc:126:simplemap_reduce$111382 $auto$simplemap.cc:75:simplemap_bitop$111936 $auto$simplemap.cc:126:simplemap_reduce$111372 $auto$simplemap.cc:126:simplemap_reduce$111367 $auto$simplemap.cc:75:simplemap_bitop$111937 $auto$alumacc.cc:485:replace_alu$6932.slice[28].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111417 $auto$simplemap.cc:126:simplemap_reduce$111412 $auto$simplemap.cc:75:simplemap_bitop$111934 $auto$simplemap.cc:126:simplemap_reduce$111402 $auto$simplemap.cc:126:simplemap_reduce$111397 $auto$simplemap.cc:75:simplemap_bitop$111935 $auto$alumacc.cc:485:replace_alu$6932.slice[26].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111447 $auto$simplemap.cc:126:simplemap_reduce$111442 $auto$simplemap.cc:75:simplemap_bitop$111932 $auto$simplemap.cc:126:simplemap_reduce$111432 $auto$simplemap.cc:126:simplemap_reduce$111427 $auto$simplemap.cc:75:simplemap_bitop$111933 $auto$alumacc.cc:485:replace_alu$6932.slice[24].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111477 $auto$simplemap.cc:126:simplemap_reduce$111472 $auto$simplemap.cc:75:simplemap_bitop$111930 $auto$simplemap.cc:126:simplemap_reduce$111462 $auto$simplemap.cc:126:simplemap_reduce$111457 $auto$simplemap.cc:75:simplemap_bitop$111931 $auto$alumacc.cc:485:replace_alu$6932.slice[22].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111507 $auto$simplemap.cc:126:simplemap_reduce$111502 $auto$simplemap.cc:75:simplemap_bitop$111928 $auto$simplemap.cc:126:simplemap_reduce$111492 $auto$simplemap.cc:126:simplemap_reduce$111487 $auto$simplemap.cc:75:simplemap_bitop$111929 $auto$alumacc.cc:485:replace_alu$6932.slice[20].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111537 $auto$simplemap.cc:126:simplemap_reduce$111532 $auto$simplemap.cc:75:simplemap_bitop$111926 $auto$simplemap.cc:126:simplemap_reduce$111522 $auto$simplemap.cc:126:simplemap_reduce$111517 $auto$simplemap.cc:75:simplemap_bitop$111927 $auto$alumacc.cc:485:replace_alu$6932.slice[18].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111567 $auto$simplemap.cc:126:simplemap_reduce$111562 $auto$simplemap.cc:75:simplemap_bitop$111924 $auto$simplemap.cc:126:simplemap_reduce$111552 $auto$simplemap.cc:126:simplemap_reduce$111547 $auto$simplemap.cc:75:simplemap_bitop$111925 $auto$alumacc.cc:485:replace_alu$6932.slice[16].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111597 $auto$simplemap.cc:126:simplemap_reduce$111592 $auto$simplemap.cc:75:simplemap_bitop$111922 $auto$simplemap.cc:126:simplemap_reduce$111582 $auto$simplemap.cc:126:simplemap_reduce$111577 $auto$simplemap.cc:75:simplemap_bitop$111923 $auto$alumacc.cc:485:replace_alu$6932.slice[14].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111627 $auto$simplemap.cc:126:simplemap_reduce$111622 $auto$simplemap.cc:75:simplemap_bitop$111920 $auto$simplemap.cc:126:simplemap_reduce$111612 $auto$simplemap.cc:126:simplemap_reduce$111607 $auto$simplemap.cc:75:simplemap_bitop$111921 $auto$alumacc.cc:485:replace_alu$6932.slice[12].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111657 $auto$simplemap.cc:126:simplemap_reduce$111652 $auto$simplemap.cc:75:simplemap_bitop$111918 $auto$simplemap.cc:126:simplemap_reduce$111642 $auto$simplemap.cc:126:simplemap_reduce$111637 $auto$simplemap.cc:75:simplemap_bitop$111919 $auto$alumacc.cc:485:replace_alu$6932.slice[10].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111687 $auto$simplemap.cc:126:simplemap_reduce$111682 $auto$simplemap.cc:75:simplemap_bitop$111916 $auto$simplemap.cc:126:simplemap_reduce$111672 $auto$simplemap.cc:126:simplemap_reduce$111667 $auto$simplemap.cc:75:simplemap_bitop$111917 $auto$alumacc.cc:485:replace_alu$6932.slice[8].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111717 $auto$simplemap.cc:126:simplemap_reduce$111712 $auto$simplemap.cc:75:simplemap_bitop$111914 $auto$simplemap.cc:126:simplemap_reduce$111702 $auto$simplemap.cc:126:simplemap_reduce$111697 $auto$simplemap.cc:75:simplemap_bitop$111915 $auto$alumacc.cc:485:replace_alu$6932.slice[6].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111747 $auto$simplemap.cc:126:simplemap_reduce$111742 $auto$simplemap.cc:75:simplemap_bitop$111912 $auto$simplemap.cc:126:simplemap_reduce$111732 $auto$simplemap.cc:126:simplemap_reduce$111727 $auto$simplemap.cc:75:simplemap_bitop$111913 $auto$alumacc.cc:485:replace_alu$6932.slice[4].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111777 $auto$simplemap.cc:126:simplemap_reduce$111772 $auto$simplemap.cc:75:simplemap_bitop$111910 $auto$simplemap.cc:126:simplemap_reduce$111762 $auto$simplemap.cc:126:simplemap_reduce$111757 $auto$simplemap.cc:75:simplemap_bitop$111911 $auto$alumacc.cc:485:replace_alu$6932.slice[2].ccu2c_i $auto$simplemap.cc:126:simplemap_reduce$111807 $auto$simplemap.cc:126:simplemap_reduce$111802 $auto$simplemap.cc:75:simplemap_bitop$111908 $auto$simplemap.cc:126:simplemap_reduce$111792 $auto$simplemap.cc:126:simplemap_reduce$111787 $auto$simplemap.cc:75:simplemap_bitop$111909 $auto$alumacc.cc:485:replace_alu$6932.slice[0].ccu2c_i $auto$simplemap.cc:75:simplemap_bitop$112068 $auto$simplemap.cc:126:simplemap_reduce$12705 $auto$simplemap.cc:38:simplemap_not$12711 $auto$simplemap.cc:75:simplemap_bitop$12284 $auto$simplemap.cc:126:simplemap_reduce$111806 $auto$simplemap.cc:126:simplemap_reduce$111800 $auto$simplemap.cc:75:simplemap_bitop$112004 $auto$simplemap.cc:126:simplemap_reduce$12466 $auto$simplemap.cc:126:simplemap_reduce$12463 $auto$simplemap.cc:126:simplemap_reduce$12458 $auto$simplemap.cc:126:simplemap_reduce$12449 $auto$simplemap.cc:126:simplemap_reduce$12432 $auto$simplemap.cc:75:simplemap_bitop$111076 $auto$simplemap.cc:38:simplemap_not$111140 $auto$simplemap.cc:126:simplemap_reduce$111799 $auto$simplemap.cc:75:simplemap_bitop$112100 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31587 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31651 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31715 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31779 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31843 $auto$simplemap.cc:75:simplemap_bitop$112101 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31588 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31652 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31716 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31780 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31844 $auto$simplemap.cc:75:simplemap_bitop$112102 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31589 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31653 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31717 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31781 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31845 $auto$simplemap.cc:75:simplemap_bitop$112103 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31590 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31654 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31718 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31782 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31846 $auto$simplemap.cc:75:simplemap_bitop$112104 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31591 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31655 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31719 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31783 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31847 $auto$simplemap.cc:75:simplemap_bitop$112105 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31592 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31656 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31720 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31784 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31848 $auto$simplemap.cc:75:simplemap_bitop$112106 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31593 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31657 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31721 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31785 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31849 $auto$simplemap.cc:75:simplemap_bitop$112107 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31594 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31658 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31722 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31786 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31850 $auto$simplemap.cc:75:simplemap_bitop$112108 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31595 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31659 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31723 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31787 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31851 $auto$simplemap.cc:75:simplemap_bitop$112109 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31596 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31660 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31724 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31788 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31852 $auto$simplemap.cc:75:simplemap_bitop$112110 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31597 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31661 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31725 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31789 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31853 $auto$simplemap.cc:75:simplemap_bitop$112111 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31598 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31662 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31726 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31790 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31854 $auto$simplemap.cc:75:simplemap_bitop$112112 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31599 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31663 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31727 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31791 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31855 $auto$simplemap.cc:75:simplemap_bitop$112113 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31600 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31664 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31728 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31792 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31856 $auto$simplemap.cc:75:simplemap_bitop$112114 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31601 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31665 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31729 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31793 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31857 $auto$simplemap.cc:75:simplemap_bitop$112115 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31602 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31666 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31730 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31794 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31858 $auto$simplemap.cc:75:simplemap_bitop$112116 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31603 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31667 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31731 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31795 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31859 $auto$simplemap.cc:75:simplemap_bitop$112117 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31604 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31668 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31732 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31796 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31860 $auto$simplemap.cc:75:simplemap_bitop$112118 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31605 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31669 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31733 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31797 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31861 $auto$simplemap.cc:75:simplemap_bitop$112119 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31606 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31670 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31734 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31798 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31862 $auto$simplemap.cc:75:simplemap_bitop$112120 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31607 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31671 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31735 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31799 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31863 $auto$simplemap.cc:75:simplemap_bitop$112121 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31608 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31672 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31736 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31800 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31864 $auto$simplemap.cc:75:simplemap_bitop$112122 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31609 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31673 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31737 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31801 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31865 $auto$simplemap.cc:75:simplemap_bitop$112123 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31610 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31674 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31738 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31802 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31866 $auto$simplemap.cc:75:simplemap_bitop$112124 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31611 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31675 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31739 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31803 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31867 $auto$simplemap.cc:75:simplemap_bitop$112125 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31612 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31676 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31740 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31804 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31868 $auto$simplemap.cc:75:simplemap_bitop$112126 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31613 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31677 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31741 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31805 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31869 $auto$simplemap.cc:75:simplemap_bitop$112127 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31614 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31678 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31742 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31806 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31870 $auto$simplemap.cc:75:simplemap_bitop$112128 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31615 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31679 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31743 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31807 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31871 $auto$simplemap.cc:75:simplemap_bitop$112129 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31616 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31680 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31744 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31808 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31872 $auto$simplemap.cc:75:simplemap_bitop$112130 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31617 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31681 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31745 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31809 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31873 $auto$simplemap.cc:75:simplemap_bitop$112131 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31618 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31682 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31746 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31810 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shl$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:45$876.$auto$simplemap.cc:267:simplemap_mux$31874 $auto$simplemap.cc:75:simplemap_bitop$112162 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32017 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32113 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32209 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32305 $auto$simplemap.cc:267:simplemap_mux$112226 $auto$simplemap.cc:126:simplemap_reduce$111361 $auto$simplemap.cc:126:simplemap_reduce$111359 $auto$simplemap.cc:126:simplemap_reduce$111355 $auto$simplemap.cc:126:simplemap_reduce$111348 $auto$simplemap.cc:75:simplemap_bitop$112194 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32545 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32641 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32737 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32833 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32401 $auto$simplemap.cc:75:simplemap_bitop$112161 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32016 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32112 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32208 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32304 $auto$simplemap.cc:126:simplemap_reduce$34072 $auto$simplemap.cc:267:simplemap_mux$112227 $auto$simplemap.cc:126:simplemap_reduce$111346 $auto$simplemap.cc:126:simplemap_reduce$111344 $auto$simplemap.cc:126:simplemap_reduce$111340 $auto$simplemap.cc:126:simplemap_reduce$111333 $auto$simplemap.cc:75:simplemap_bitop$112163 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32018 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32114 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32210 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32306 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32402 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32899 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32900 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32803 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32901 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32804 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32902 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32805 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32903 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32806 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32904 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32707 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32807 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32905 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32708 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32808 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32906 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32709 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32809 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32907 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32710 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32810 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32908 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32711 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32811 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32909 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32712 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32812 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32910 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32713 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32813 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32911 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32714 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32814 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32912 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32611 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32715 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32815 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32913 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32612 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32716 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32816 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32914 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32613 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32717 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32817 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32915 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32614 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32718 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32818 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32916 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32615 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32719 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32819 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32917 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32616 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32720 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32820 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32918 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32617 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32721 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32821 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32919 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32618 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32722 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32822 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32920 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32619 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32723 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32823 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32921 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32620 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32724 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32824 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32922 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32621 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32725 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32825 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32923 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32622 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32726 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32826 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32924 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32623 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32727 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32827 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32925 $auto$simplemap.cc:75:simplemap_bitop$112133 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31988 $auto$simplemap.cc:75:simplemap_bitop$112149 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32004 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32100 $auto$simplemap.cc:75:simplemap_bitop$112141 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31996 $auto$simplemap.cc:75:simplemap_bitop$112157 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32012 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32108 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32204 $auto$simplemap.cc:75:simplemap_bitop$112137 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31992 $auto$simplemap.cc:75:simplemap_bitop$112153 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32008 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32104 $auto$simplemap.cc:75:simplemap_bitop$112145 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32000 $auto$simplemap.cc:267:simplemap_mux$112209 $auto$simplemap.cc:126:simplemap_reduce$111616 $auto$simplemap.cc:126:simplemap_reduce$111614 $auto$simplemap.cc:126:simplemap_reduce$111610 $auto$simplemap.cc:126:simplemap_reduce$111603 $auto$simplemap.cc:75:simplemap_bitop$112177 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32528 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32624 $auto$simplemap.cc:267:simplemap_mux$112201 $auto$simplemap.cc:126:simplemap_reduce$111736 $auto$simplemap.cc:126:simplemap_reduce$111734 $auto$simplemap.cc:126:simplemap_reduce$111730 $auto$simplemap.cc:126:simplemap_reduce$111723 $auto$simplemap.cc:75:simplemap_bitop$112169 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32520 $auto$simplemap.cc:267:simplemap_mux$112217 $auto$simplemap.cc:126:simplemap_reduce$111496 $auto$simplemap.cc:126:simplemap_reduce$111494 $auto$simplemap.cc:126:simplemap_reduce$111490 $auto$simplemap.cc:126:simplemap_reduce$111483 $auto$simplemap.cc:75:simplemap_bitop$112185 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32536 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32632 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32728 $auto$simplemap.cc:267:simplemap_mux$112197 $auto$simplemap.cc:126:simplemap_reduce$111796 $auto$simplemap.cc:126:simplemap_reduce$111794 $auto$simplemap.cc:126:simplemap_reduce$111790 $auto$simplemap.cc:126:simplemap_reduce$111783 $auto$simplemap.cc:75:simplemap_bitop$112165 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32516 $auto$simplemap.cc:267:simplemap_mux$112213 $auto$simplemap.cc:126:simplemap_reduce$111556 $auto$simplemap.cc:126:simplemap_reduce$111554 $auto$simplemap.cc:126:simplemap_reduce$111550 $auto$simplemap.cc:126:simplemap_reduce$111543 $auto$simplemap.cc:75:simplemap_bitop$112181 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32532 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32628 $auto$simplemap.cc:267:simplemap_mux$112205 $auto$simplemap.cc:126:simplemap_reduce$111676 $auto$simplemap.cc:126:simplemap_reduce$111674 $auto$simplemap.cc:126:simplemap_reduce$111670 $auto$simplemap.cc:126:simplemap_reduce$111663 $auto$simplemap.cc:75:simplemap_bitop$112173 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32524 $auto$simplemap.cc:267:simplemap_mux$112221 $auto$simplemap.cc:126:simplemap_reduce$111436 $auto$simplemap.cc:126:simplemap_reduce$111434 $auto$simplemap.cc:126:simplemap_reduce$111430 $auto$simplemap.cc:126:simplemap_reduce$111423 $auto$simplemap.cc:75:simplemap_bitop$112189 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32540 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32636 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32732 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32828 $auto$simplemap.cc:75:simplemap_bitop$112135 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31990 $auto$simplemap.cc:75:simplemap_bitop$112151 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32006 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32102 $auto$simplemap.cc:75:simplemap_bitop$112143 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31998 $auto$simplemap.cc:75:simplemap_bitop$112159 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32014 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32110 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32206 $auto$simplemap.cc:75:simplemap_bitop$112139 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31994 $auto$simplemap.cc:75:simplemap_bitop$112155 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32010 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32106 $auto$simplemap.cc:75:simplemap_bitop$112147 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32002 $auto$simplemap.cc:267:simplemap_mux$112211 $auto$simplemap.cc:126:simplemap_reduce$111586 $auto$simplemap.cc:126:simplemap_reduce$111584 $auto$simplemap.cc:126:simplemap_reduce$111580 $auto$simplemap.cc:126:simplemap_reduce$111573 $auto$simplemap.cc:75:simplemap_bitop$112179 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32530 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32626 $auto$simplemap.cc:267:simplemap_mux$112203 $auto$simplemap.cc:126:simplemap_reduce$111706 $auto$simplemap.cc:126:simplemap_reduce$111704 $auto$simplemap.cc:126:simplemap_reduce$111700 $auto$simplemap.cc:126:simplemap_reduce$111693 $auto$simplemap.cc:75:simplemap_bitop$112171 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32522 $auto$simplemap.cc:267:simplemap_mux$112219 $auto$simplemap.cc:126:simplemap_reduce$111466 $auto$simplemap.cc:126:simplemap_reduce$111464 $auto$simplemap.cc:126:simplemap_reduce$111460 $auto$simplemap.cc:126:simplemap_reduce$111453 $auto$simplemap.cc:75:simplemap_bitop$112187 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32538 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32634 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32730 $auto$simplemap.cc:267:simplemap_mux$112199 $auto$simplemap.cc:126:simplemap_reduce$111766 $auto$simplemap.cc:126:simplemap_reduce$111764 $auto$simplemap.cc:126:simplemap_reduce$111760 $auto$simplemap.cc:126:simplemap_reduce$111753 $auto$simplemap.cc:75:simplemap_bitop$112167 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32518 $auto$simplemap.cc:267:simplemap_mux$112215 $auto$simplemap.cc:126:simplemap_reduce$111526 $auto$simplemap.cc:126:simplemap_reduce$111524 $auto$simplemap.cc:126:simplemap_reduce$111520 $auto$simplemap.cc:126:simplemap_reduce$111513 $auto$simplemap.cc:75:simplemap_bitop$112183 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32534 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32630 $auto$simplemap.cc:267:simplemap_mux$112207 $auto$simplemap.cc:126:simplemap_reduce$111646 $auto$simplemap.cc:126:simplemap_reduce$111644 $auto$simplemap.cc:126:simplemap_reduce$111640 $auto$simplemap.cc:126:simplemap_reduce$111633 $auto$simplemap.cc:75:simplemap_bitop$112175 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32526 $auto$simplemap.cc:267:simplemap_mux$112223 $auto$simplemap.cc:126:simplemap_reduce$111406 $auto$simplemap.cc:126:simplemap_reduce$111404 $auto$simplemap.cc:126:simplemap_reduce$111400 $auto$simplemap.cc:126:simplemap_reduce$111393 $auto$simplemap.cc:75:simplemap_bitop$112191 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32542 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32638 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32734 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32830 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32926 $auto$simplemap.cc:75:simplemap_bitop$112134 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31989 $auto$simplemap.cc:75:simplemap_bitop$112150 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32005 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32101 $auto$simplemap.cc:75:simplemap_bitop$112142 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31997 $auto$simplemap.cc:75:simplemap_bitop$112158 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32013 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32109 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32205 $auto$simplemap.cc:75:simplemap_bitop$112138 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31993 $auto$simplemap.cc:75:simplemap_bitop$112154 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32009 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32105 $auto$simplemap.cc:75:simplemap_bitop$112146 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32001 $auto$simplemap.cc:126:simplemap_reduce$34064 $auto$simplemap.cc:267:simplemap_mux$112210 $auto$simplemap.cc:126:simplemap_reduce$111601 $auto$simplemap.cc:126:simplemap_reduce$111599 $auto$simplemap.cc:126:simplemap_reduce$111595 $auto$simplemap.cc:126:simplemap_reduce$111588 $auto$simplemap.cc:75:simplemap_bitop$112178 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32529 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32625 $auto$simplemap.cc:126:simplemap_reduce$34060 $auto$simplemap.cc:267:simplemap_mux$112202 $auto$simplemap.cc:126:simplemap_reduce$111721 $auto$simplemap.cc:126:simplemap_reduce$111719 $auto$simplemap.cc:126:simplemap_reduce$111715 $auto$simplemap.cc:126:simplemap_reduce$111708 $auto$simplemap.cc:75:simplemap_bitop$112170 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32521 $auto$simplemap.cc:126:simplemap_reduce$34068 $auto$simplemap.cc:267:simplemap_mux$112218 $auto$simplemap.cc:126:simplemap_reduce$111481 $auto$simplemap.cc:126:simplemap_reduce$111479 $auto$simplemap.cc:126:simplemap_reduce$111475 $auto$simplemap.cc:126:simplemap_reduce$111468 $auto$simplemap.cc:75:simplemap_bitop$112186 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32537 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32633 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32729 $auto$simplemap.cc:126:simplemap_reduce$34058 $auto$simplemap.cc:267:simplemap_mux$112198 $auto$simplemap.cc:126:simplemap_reduce$111781 $auto$simplemap.cc:126:simplemap_reduce$111779 $auto$simplemap.cc:126:simplemap_reduce$111775 $auto$simplemap.cc:126:simplemap_reduce$111768 $auto$simplemap.cc:75:simplemap_bitop$112166 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32517 $auto$simplemap.cc:126:simplemap_reduce$34066 $auto$simplemap.cc:267:simplemap_mux$112214 $auto$simplemap.cc:126:simplemap_reduce$111541 $auto$simplemap.cc:126:simplemap_reduce$111539 $auto$simplemap.cc:126:simplemap_reduce$111535 $auto$simplemap.cc:126:simplemap_reduce$111528 $auto$simplemap.cc:75:simplemap_bitop$112182 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32533 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32629 $auto$simplemap.cc:126:simplemap_reduce$34062 $auto$simplemap.cc:267:simplemap_mux$112206 $auto$simplemap.cc:126:simplemap_reduce$111661 $auto$simplemap.cc:126:simplemap_reduce$111659 $auto$simplemap.cc:126:simplemap_reduce$111655 $auto$simplemap.cc:126:simplemap_reduce$111648 $auto$simplemap.cc:75:simplemap_bitop$112174 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32525 $auto$simplemap.cc:126:simplemap_reduce$34070 $auto$simplemap.cc:267:simplemap_mux$112222 $auto$simplemap.cc:126:simplemap_reduce$111421 $auto$simplemap.cc:126:simplemap_reduce$111419 $auto$simplemap.cc:126:simplemap_reduce$111415 $auto$simplemap.cc:126:simplemap_reduce$111408 $auto$simplemap.cc:75:simplemap_bitop$112190 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32541 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32637 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32733 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32829 $auto$simplemap.cc:75:simplemap_bitop$112136 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31991 $auto$simplemap.cc:75:simplemap_bitop$112152 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32007 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32103 $auto$simplemap.cc:75:simplemap_bitop$112144 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31999 $auto$simplemap.cc:75:simplemap_bitop$112160 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32015 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32111 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32207 $auto$simplemap.cc:75:simplemap_bitop$112140 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$31995 $auto$simplemap.cc:75:simplemap_bitop$112156 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32011 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32107 $auto$simplemap.cc:75:simplemap_bitop$112148 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$shr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:46$877.$auto$simplemap.cc:267:simplemap_mux$32003 $auto$simplemap.cc:75:simplemap_bitop$112132 $auto$simplemap.cc:126:simplemap_reduce$34074 $auto$simplemap.cc:126:simplemap_reduce$34057 $auto$simplemap.cc:267:simplemap_mux$112196 $auto$simplemap.cc:126:simplemap_reduce$111811 $auto$simplemap.cc:126:simplemap_reduce$111809 $auto$simplemap.cc:126:simplemap_reduce$111805 $auto$simplemap.cc:126:simplemap_reduce$111798 $auto$simplemap.cc:75:simplemap_bitop$112164 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32515 $auto$simplemap.cc:126:simplemap_reduce$34078 $auto$simplemap.cc:126:simplemap_reduce$34065 $auto$simplemap.cc:267:simplemap_mux$112212 $auto$simplemap.cc:126:simplemap_reduce$111571 $auto$simplemap.cc:126:simplemap_reduce$111569 $auto$simplemap.cc:126:simplemap_reduce$111565 $auto$simplemap.cc:126:simplemap_reduce$111558 $auto$simplemap.cc:75:simplemap_bitop$112180 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32531 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32627 $auto$simplemap.cc:126:simplemap_reduce$34076 $auto$simplemap.cc:126:simplemap_reduce$34061 $auto$simplemap.cc:267:simplemap_mux$112204 $auto$simplemap.cc:126:simplemap_reduce$111691 $auto$simplemap.cc:126:simplemap_reduce$111689 $auto$simplemap.cc:126:simplemap_reduce$111685 $auto$simplemap.cc:126:simplemap_reduce$111678 $auto$simplemap.cc:75:simplemap_bitop$112172 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32523 $auto$simplemap.cc:126:simplemap_reduce$34080 $auto$simplemap.cc:126:simplemap_reduce$34069 $auto$simplemap.cc:267:simplemap_mux$112220 $auto$simplemap.cc:126:simplemap_reduce$111451 $auto$simplemap.cc:126:simplemap_reduce$111449 $auto$simplemap.cc:126:simplemap_reduce$111445 $auto$simplemap.cc:126:simplemap_reduce$111438 $auto$simplemap.cc:75:simplemap_bitop$112188 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32539 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32635 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32731 $auto$simplemap.cc:126:simplemap_reduce$34083 $auto$simplemap.cc:126:simplemap_reduce$34075 $auto$simplemap.cc:126:simplemap_reduce$34059 $auto$simplemap.cc:267:simplemap_mux$112200 $auto$simplemap.cc:126:simplemap_reduce$111751 $auto$simplemap.cc:126:simplemap_reduce$111749 $auto$simplemap.cc:126:simplemap_reduce$111745 $auto$simplemap.cc:126:simplemap_reduce$111738 $auto$simplemap.cc:75:simplemap_bitop$112168 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32519 $auto$simplemap.cc:126:simplemap_reduce$34085 $auto$simplemap.cc:126:simplemap_reduce$34079 $auto$simplemap.cc:126:simplemap_reduce$34067 $auto$simplemap.cc:267:simplemap_mux$112216 $auto$simplemap.cc:126:simplemap_reduce$111511 $auto$simplemap.cc:126:simplemap_reduce$111509 $auto$simplemap.cc:126:simplemap_reduce$111505 $auto$simplemap.cc:126:simplemap_reduce$111498 $auto$simplemap.cc:75:simplemap_bitop$112184 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32535 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32631 $auto$simplemap.cc:126:simplemap_reduce$34088 $auto$simplemap.cc:126:simplemap_reduce$34084 $auto$simplemap.cc:126:simplemap_reduce$34077 $auto$simplemap.cc:126:simplemap_reduce$34063 $auto$simplemap.cc:267:simplemap_mux$112208 $auto$simplemap.cc:126:simplemap_reduce$111631 $auto$simplemap.cc:126:simplemap_reduce$111629 $auto$simplemap.cc:126:simplemap_reduce$111625 $auto$simplemap.cc:126:simplemap_reduce$111618 $auto$simplemap.cc:75:simplemap_bitop$112176 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32527 $auto$simplemap.cc:267:simplemap_mux$112224 $auto$simplemap.cc:126:simplemap_reduce$111391 $auto$simplemap.cc:126:simplemap_reduce$111389 $auto$simplemap.cc:126:simplemap_reduce$111385 $auto$simplemap.cc:126:simplemap_reduce$111378 $auto$simplemap.cc:75:simplemap_bitop$112192 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32543 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32639 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32735 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32831 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32927 $auto$simplemap.cc:267:simplemap_mux$77038 $auto$simplemap.cc:267:simplemap_mux$76876 $auto$simplemap.cc:126:simplemap_reduce$76747 $auto$simplemap.cc:75:simplemap_bitop$76712 $auto$simplemap.cc:267:simplemap_mux$24285 $auto$ff.cc:266:slice$35081 $auto$simplemap.cc:225:simplemap_logbin$35482 $auto$simplemap.cc:75:simplemap_bitop$35014 $auto$simplemap.cc:75:simplemap_bitop$35015 $auto$simplemap.cc:75:simplemap_bitop$35016 $auto$ff.cc:266:slice$34199 $auto$ff.cc:479:convert_ce_over_srst$119535 $auto$simplemap.cc:126:simplemap_reduce$18301 $auto$simplemap.cc:126:simplemap_reduce$18299 $auto$simplemap.cc:126:simplemap_reduce$18308 $auto$opt_expr.cc:617:replace_const_cells$117981 $auto$simplemap.cc:75:simplemap_bitop$34953 $auto$simplemap.cc:225:simplemap_logbin$34094 $auto$simplemap.cc:225:simplemap_logbin$34097 $auto$simplemap.cc:126:simplemap_reduce$34102 $auto$simplemap.cc:267:simplemap_mux$31377 $auto$ff.cc:266:slice$33365 $auto$simplemap.cc:225:simplemap_logbin$33891 $auto$simplemap.cc:225:simplemap_logbin$33893 $auto$simplemap.cc:225:simplemap_logbin$33895 $auto$simplemap.cc:225:simplemap_logbin$30327 $auto$simplemap.cc:75:simplemap_bitop$30329 $auto$simplemap.cc:75:simplemap_bitop$30330 $auto$simplemap.cc:196:simplemap_lognot$37046 $auto$simplemap.cc:225:simplemap_logbin$34093 $auto$simplemap.cc:225:simplemap_logbin$31389 $auto$simplemap.cc:38:simplemap_not$31381 $auto$simplemap.cc:126:simplemap_reduce$34091 $auto$simplemap.cc:126:simplemap_reduce$34089 $auto$simplemap.cc:126:simplemap_reduce$34086 $auto$simplemap.cc:126:simplemap_reduce$34081 $auto$simplemap.cc:126:simplemap_reduce$34071 $auto$simplemap.cc:267:simplemap_mux$112225 $auto$simplemap.cc:126:simplemap_reduce$111376 $auto$simplemap.cc:126:simplemap_reduce$111374 $auto$simplemap.cc:126:simplemap_reduce$111370 $auto$simplemap.cc:126:simplemap_reduce$111363 $auto$simplemap.cc:75:simplemap_bitop$112193 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32544 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32640 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32736 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32832 $techmap$flatten\Processor.\N1.\Second_Stage.\Alu.$sshr$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/alu.sv:47$878.$auto$simplemap.cc:267:simplemap_mux$32928 Found an SCC: $auto$ff.cc:266:slice$13494 $auto$ff.cc:266:slice$13495 $auto$simplemap.cc:126:simplemap_reduce$13620 $auto$simplemap.cc:126:simplemap_reduce$13635 $auto$opt_expr.cc:617:replace_const_cells$117861 $auto$ff.cc:266:slice$13496 $auto$opt_expr.cc:617:replace_const_cells$117889 $auto$simplemap.cc:267:simplemap_mux$51272 $auto$simplemap.cc:126:simplemap_reduce$51263 $auto$simplemap.cc:126:simplemap_reduce$51260 $auto$simplemap.cc:75:simplemap_bitop$51278 $auto$simplemap.cc:267:simplemap_mux$13606 $auto$simplemap.cc:225:simplemap_logbin$13609 $auto$simplemap.cc:196:simplemap_lognot$13624 $auto$simplemap.cc:126:simplemap_reduce$13622 $auto$simplemap.cc:126:simplemap_reduce$13619 $auto$simplemap.cc:38:simplemap_not$51221 $auto$simplemap.cc:196:simplemap_lognot$13573 $auto$simplemap.cc:126:simplemap_reduce$13571 $auto$opt_expr.cc:617:replace_const_cells$117891 $auto$simplemap.cc:267:simplemap_mux$51271 $auto$simplemap.cc:126:simplemap_reduce$51268 $auto$simplemap.cc:126:simplemap_reduce$51265 $auto$simplemap.cc:75:simplemap_bitop$51280 $auto$simplemap.cc:196:simplemap_lognot$13639 $auto$simplemap.cc:126:simplemap_reduce$13637 $auto$simplemap.cc:126:simplemap_reduce$13634 $auto$ff.cc:266:slice$13493 $auto$simplemap.cc:126:simplemap_reduce$12283 $auto$simplemap.cc:225:simplemap_logbin$13563 Found an SCC: $auto$simplemap.cc:126:simplemap_reduce$16281 $auto$simplemap.cc:225:simplemap_logbin$23821 $auto$ff.cc:266:slice$24248 $auto$simplemap.cc:126:simplemap_reduce$16283 $auto$simplemap.cc:225:simplemap_logbin$23827 $auto$simplemap.cc:225:simplemap_logbin$23825 $auto$simplemap.cc:225:simplemap_logbin$23824 $auto$simplemap.cc:225:simplemap_logbin$23823 Found an SCC: $auto$ff.cc:266:slice$24249 $auto$simplemap.cc:126:simplemap_reduce$16285 $auto$simplemap.cc:225:simplemap_logbin$23822 Found an SCC: $auto$ff.cc:266:slice$23755 $auto$simplemap.cc:126:simplemap_reduce$16251 $auto$simplemap.cc:225:simplemap_logbin$23517 Found an SCC: $auto$simplemap.cc:126:simplemap_reduce$17490 $auto$simplemap.cc:126:simplemap_reduce$17488 $auto$simplemap.cc:126:simplemap_reduce$17648 $auto$simplemap.cc:38:simplemap_not$74778 $auto$ff.cc:266:slice$13129 $auto$dfflegalize.cc:941:flip_pol$118973 $auto$ff.cc:485:convert_ce_over_srst$118971 $auto$simplemap.cc:126:simplemap_reduce$10436 $auto$simplemap.cc:126:simplemap_reduce$10434 Found an SCC: $auto$simplemap.cc:126:simplemap_reduce$12958 $auto$simplemap.cc:126:simplemap_reduce$17369 $auto$simplemap.cc:38:simplemap_not$51192 $auto$ff.cc:266:slice$13165 $auto$dfflegalize.cc:941:flip_pol$119113 $auto$ff.cc:485:convert_ce_over_srst$119111 $auto$simplemap.cc:126:simplemap_reduce$17177 $auto$simplemap.cc:126:simplemap_reduce$17175 $auto$simplemap.cc:126:simplemap_reduce$12993 Found an SCC: $auto$ff.cc:266:slice$13506 $auto$dfflegalize.cc:941:flip_pol$119133 $auto$opt_expr.cc:617:replace_const_cells$117865 $auto$ff.cc:266:slice$13505 $auto$dfflegalize.cc:941:flip_pol$119131 $auto$simplemap.cc:38:simplemap_not$51323 $auto$ff.cc:266:slice$13504 $auto$dfflegalize.cc:941:flip_pol$119129 $auto$simplemap.cc:126:simplemap_reduce$13658 $auto$ff.cc:266:slice$13503 $auto$dfflegalize.cc:941:flip_pol$119127 $auto$simplemap.cc:38:simplemap_not$51321 $auto$ff.cc:266:slice$13502 $auto$dfflegalize.cc:941:flip_pol$119125 $auto$simplemap.cc:126:simplemap_reduce$13662 $auto$simplemap.cc:126:simplemap_reduce$13657 $auto$simplemap.cc:38:simplemap_not$51320 $auto$ff.cc:266:slice$13501 $auto$dfflegalize.cc:941:flip_pol$119123 $auto$ff.cc:266:slice$13497 $auto$dfflegalize.cc:941:flip_pol$119115 $auto$simplemap.cc:126:simplemap_reduce$13655 $auto$simplemap.cc:38:simplemap_not$51317 $auto$ff.cc:266:slice$13498 $auto$dfflegalize.cc:941:flip_pol$119117 $auto$ff.cc:266:slice$13500 $auto$dfflegalize.cc:941:flip_pol$119121 $auto$simplemap.cc:126:simplemap_reduce$13664 $auto$simplemap.cc:126:simplemap_reduce$13661 $auto$simplemap.cc:126:simplemap_reduce$13656 $auto$ff.cc:266:slice$13499 $auto$dfflegalize.cc:941:flip_pol$119119 $auto$simplemap.cc:126:simplemap_reduce$13666 $auto$simplemap.cc:126:simplemap_reduce$13659 Found an SCC: $auto$simplemap.cc:38:simplemap_not$42473 $auto$ff.cc:266:slice$13349 $auto$simplemap.cc:38:simplemap_not$42470 $auto$ff.cc:266:slice$13346 $auto$simplemap.cc:38:simplemap_not$42472 $auto$ff.cc:266:slice$13348 $auto$simplemap.cc:126:simplemap_reduce$13475 $auto$simplemap.cc:126:simplemap_reduce$13446 $auto$simplemap.cc:38:simplemap_not$42471 $auto$ff.cc:266:slice$13347 $auto$ff.cc:266:slice$13343 $auto$simplemap.cc:38:simplemap_not$42466 $auto$ff.cc:266:slice$13342 $auto$simplemap.cc:126:simplemap_reduce$13444 $auto$simplemap.cc:38:simplemap_not$42468 $auto$simplemap.cc:126:simplemap_reduce$13473 $auto$ff.cc:266:slice$13344 $auto$simplemap.cc:126:simplemap_reduce$13476 $auto$simplemap.cc:126:simplemap_reduce$13447 $auto$ff.cc:266:slice$13350 $auto$simplemap.cc:126:simplemap_reduce$13449 $auto$simplemap.cc:126:simplemap_reduce$13443 $auto$opt_expr.cc:617:replace_const_cells$117851 $auto$simplemap.cc:126:simplemap_reduce$13478 $auto$simplemap.cc:126:simplemap_reduce$13472 $auto$ff.cc:266:slice$13341 $auto$simplemap.cc:225:simplemap_logbin$13427 $auto$simplemap.cc:196:simplemap_lognot$13456 $auto$simplemap.cc:126:simplemap_reduce$13454 $auto$simplemap.cc:126:simplemap_reduce$13452 $auto$simplemap.cc:126:simplemap_reduce$13450 $auto$simplemap.cc:126:simplemap_reduce$13445 $auto$simplemap.cc:126:simplemap_reduce$13483 $auto$simplemap.cc:126:simplemap_reduce$13481 $auto$simplemap.cc:126:simplemap_reduce$13479 $auto$simplemap.cc:126:simplemap_reduce$13474 $auto$simplemap.cc:38:simplemap_not$42469 $auto$ff.cc:266:slice$13345 $auto$simplemap.cc:225:simplemap_logbin$13426 $auto$simplemap.cc:196:simplemap_lognot$13485 Found an SCC: $auto$ff.cc:266:slice$10551 $auto$ff.cc:479:convert_ce_over_srst$118823 $auto$alumacc.cc:485:replace_alu$6849.slice[24].ccu2c_i $auto$ff.cc:266:slice$10550 $auto$ff.cc:479:convert_ce_over_srst$118821 $auto$ff.cc:266:slice$10557 $auto$ff.cc:479:convert_ce_over_srst$118835 $auto$ff.cc:266:slice$10556 $auto$ff.cc:479:convert_ce_over_srst$118833 $auto$ff.cc:266:slice$10555 $auto$ff.cc:479:convert_ce_over_srst$118831 $auto$ff.cc:266:slice$10554 $auto$ff.cc:479:convert_ce_over_srst$118829 $auto$ff.cc:266:slice$10553 $auto$ff.cc:479:convert_ce_over_srst$118827 $auto$alumacc.cc:485:replace_alu$6849.slice[30].ccu2c_i $auto$alumacc.cc:485:replace_alu$6849.slice[28].ccu2c_i $auto$alumacc.cc:485:replace_alu$6849.slice[26].ccu2c_i $auto$ff.cc:266:slice$10552 $auto$ff.cc:479:convert_ce_over_srst$118825 $auto$simplemap.cc:126:simplemap_reduce$18515 $auto$simplemap.cc:126:simplemap_reduce$18525 $auto$simplemap.cc:38:simplemap_not$12233 Found 10 SCCs in module processorci_top. Found 10 SCCs. 34.42.5. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.6. Executing PROC pass (convert processes to netlists). 34.42.6.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 34.42.6.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 34.42.6.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). Removed 0 redundant assignments. Promoted 0 assignments to connections. 34.42.6.4. Executing PROC_INIT pass (extract init attributes). 34.42.6.5. Executing PROC_ARST pass (detect async resets in processes). 34.42.6.6. Executing PROC_ROM pass (convert switches to ROMs). Converted 0 switches. 34.42.6.7. Executing PROC_MUX pass (convert decision trees to multiplexers). 34.42.6.8. Executing PROC_DLATCH pass (convert process syncs to latches). 34.42.6.9. Executing PROC_DFF pass (convert process syncs to FFs). 34.42.6.10. Executing PROC_MEMWR pass (convert process memory writes to cells). 34.42.6.11. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 34.42.6.12. Executing OPT_EXPR pass (perform const folding). 34.42.7. Executing TECHMAP pass (map to technology primitives). 34.42.7.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/techmap.v Parsing Verilog input from `/usr/local/share/synlig/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 34.42.7.2. Continuing TECHMAP pass. No more expansions possible. 34.42.8. Executing OPT pass (performing simple optimizations). 34.42.8.1. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. 34.42.8.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4'. Removed a total of 0 cells. 34.42.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 34.42.8.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Performed a total of 0 changes. 34.42.8.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `$paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4'. Removed a total of 0 cells. 34.42.8.6. Executing OPT_DFF pass (perform DFF optimizations). 34.42.8.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4.. 34.42.8.8. Executing OPT_EXPR pass (perform const folding). Optimizing module $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. 34.42.8.9. Finished OPT passes. (There is nothing left to do.) 34.42.9. Executing TECHMAP pass (map to technology primitives). 34.42.9.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/abc9_map.v Parsing Verilog input from `/usr/local/share/synlig/abc9_map.v' to AST representation. Successfully finished Verilog frontend. 34.42.9.2. Continuing TECHMAP pass. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. No more expansions possible. 34.42.10. Executing Verilog-2005 frontend: /usr/local/share/synlig/abc9_model.v Parsing Verilog input from `/usr/local/share/synlig/abc9_model.v' to AST representation. Generating RTLIL representation for module `$__ABC9_DELAY'. Generating RTLIL representation for module `$__ABC9_SCC_BREAKER'. Generating RTLIL representation for module `$__DFF_N__$abc9_flop'. Generating RTLIL representation for module `$__DFF_P__$abc9_flop'. Successfully finished Verilog frontend. 34.42.11. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.12. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.13. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.14. Executing TECHMAP pass (map to technology primitives). 34.42.14.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/techmap.v Parsing Verilog input from `/usr/local/share/synlig/techmap.v' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu_brent_kung'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod_trunc'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\$__div_mod_floor'. Generating RTLIL representation for module `\_90_divfloor'. Generating RTLIL representation for module `\_90_modfloor'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_demux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 34.42.14.2. Continuing TECHMAP pass. Using template $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C for cells of type $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $xor. Using extmapper simplemap for cells of type $or. Using template $paramod\LUT2\INIT=4'1010 for cells of type LUT2. Using template $paramod\LUT4\INIT=16'1001011010101010 for cells of type LUT4. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $mux. No more expansions possible. 34.42.15. Executing OPT pass (performing simple optimizations). 34.42.15.1. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.42.15.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 2 cells. 34.42.15.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 34.42.15.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.42.15.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.42.15.6. Executing OPT_DFF pass (perform DFF optimizations). 34.42.15.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. Removed 0 unused cells and 55 unused wires. 34.42.15.8. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.42.15.9. Rerunning OPT passes. (Maybe there is more to do..) 34.42.15.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \processorci_top.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 34.42.15.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \processorci_top. Performed a total of 0 changes. 34.42.15.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\processorci_top'. Removed a total of 0 cells. 34.42.15.13. Executing OPT_DFF pass (perform DFF optimizations). 34.42.15.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \processorci_top.. 34.42.15.15. Executing OPT_EXPR pass (perform const folding). Optimizing module processorci_top. 34.42.15.16. Finished OPT passes. (There is nothing left to do.) 34.42.16. Executing AIGMAP pass (map logic to AIG). Module processorci_top: replaced 18 cells with 120 new cells, skipped 39 cells. replaced 3 cell types: 2 $_OR_ 2 $_XOR_ 14 $_MUX_ not replaced 3 cell types: 31 $specify2 4 $_NOT_ 4 $_AND_ 34.42.17. Executing AIGMAP pass (map logic to AIG). Module processorci_top: replaced 20453 cells with 130205 new cells, skipped 11370 cells. replaced 4 cell types: 4146 $_OR_ 499 $_XOR_ 132 $_ORNOT_ 15676 $_MUX_ not replaced 10 cell types: 31 $scopeinfo 1429 $_NOT_ 3502 $_AND_ 3773 TRELLIS_FF 5 DP16KD 4 MULT18X18D 1 $__ABC9_SCC_BREAKER 1028 $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 569 $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C 1028 $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp 34.42.17.1. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.17.2. Executing ABC9_OPS pass (helper functions for ABC9). 34.42.17.3. Executing XAIGER backend. Extracted 56305 AND gates and 151335 wires from module `processorci_top' to a netlist network with 8174 inputs and 3171 outputs. 34.42.17.4. Executing ABC9_EXE pass (technology mapping using ABC9). 34.42.17.5. Executing ABC9. Running ABC command: "built in abc" -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_lut /input.lut ABC: + read_box /input.box ABC: + &read /input.xaig ABC: + &ps ABC: /input : i/o = 8174/ 3171 and = 45473 lev = 58 (4.50) mem = 0.99 MB box = 1597 bb = 1028 ABC: + &scorr ABC: Warning: The network is combinational. ABC: + &sweep ABC: + &dc2 ABC: + &dch -f ABC: + &ps ABC: /input : i/o = 8174/ 3171 and = 59669 lev = 63 (3.94) mem = 1.14 MB ch = 7268 box = 1531 bb = 1028 ABC: + &if -W 300 -v ABC: K = 7. Memory (bytes): Truth = 0. Cut = 64. Obj = 148. Set = 672. CutMin = no ABC: Node = 59669. Ch = 5967. Total mem = 13.98 MB. Peak cut mem = 0.32 MB. ABC: P: Del = 8630.00. Ar = 50606.0. Edge = 59469. Cut = 680717. T = 0.32 sec ABC: P: Del = 8468.00. Ar = 48997.0. Edge = 56939. Cut = 682112. T = 0.33 sec ABC: P: Del = 8468.00. Ar = 16836.0. Edge = 47516. Cut = 1557801. T = 0.77 sec ABC: F: Del = 8468.00. Ar = 14479.0. Edge = 44866. Cut = 1359993. T = 0.59 sec ABC: A: Del = 8468.00. Ar = 13429.0. Edge = 41470. Cut = 1303980. T = 0.94 sec ABC: A: Del = 8468.00. Ar = 13326.0. Edge = 41232. Cut = 1350550. T = 0.92 sec ABC: Total time = 3.88 sec ABC: + &write -n /output.aig ABC: + &mfs ABC: + &ps -l ABC: /input : i/o = 8174/ 3171 and = 36478 lev = 54 (4.19) mem = 0.87 MB box = 1531 bb = 1028 ABC: Mapping (K=7) : lut = 10612 edge = 40579 lev = 17 (1.76) Boxes are not in a topological order. Switching to level computation without boxes. ABC: levB = 54 mem = 0.49 MB ABC: LUT = 10612 : 2=914 8.6 % 3=2026 19.1 % 4=6003 56.6 % 5=1474 13.9 % 6=74 0.7 % 7=121 1.1 % Ave = 3.82 ABC: + &write -n /output.aig ABC: + time ABC: elapse: 33.77 seconds, total: 33.77 seconds 34.42.17.6. Executing AIGER frontend. Removed 54460 unused cells and 90101 unused wires. 34.42.17.7. Executing ABC9_OPS pass (helper functions for ABC9). ABC RESULTS: $lut cells: 10638 ABC RESULTS: $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C cells: 503 ABC RESULTS: $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp cells: 1028 ABC RESULTS: input signals: 1234 ABC RESULTS: output signals: 588 Removing temp directory. 34.42.18. Executing TECHMAP pass (map to technology primitives). 34.42.18.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/abc9_unmap.v Parsing Verilog input from `/usr/local/share/synlig/abc9_unmap.v' to AST representation. Generating RTLIL representation for module `\$__DFF_x__$abc9_flop'. Generating RTLIL representation for module `\$__ABC9_SCC_BREAKER'. Successfully finished Verilog frontend. 34.42.18.2. Continuing TECHMAP pass. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4 for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4. Using template $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C for cells of type $paramod$838872d5a4bab89607f53482b205c0fd50d8b82e\CCU2C. Using template $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp for cells of type $paramod$2074b3813575c9ede27f9a04ee0bf291c9c7bf2f\TRELLIS_DPR16X4_$abc9_byp. Using template $paramod\$__ABC9_SCC_BREAKER\WIDTH=32'00000000000000000000000000001010 for cells of type $__ABC9_SCC_BREAKER. No more expansions possible. Removed 968 unused cells and 167021 unused wires. 34.43. Executing TECHMAP pass (map to technology primitives). 34.43.1. Executing Verilog-2005 frontend: /usr/local/share/synlig/ecp5/cells_map.v Parsing Verilog input from `/usr/local/share/synlig/ecp5/cells_map.v' to AST representation. Generating RTLIL representation for module `\$_DFF_N_'. Generating RTLIL representation for module `\$_DFF_P_'. Generating RTLIL representation for module `\$_DFFE_NN_'. Generating RTLIL representation for module `\$_DFFE_PN_'. Generating RTLIL representation for module `\$_DFFE_NP_'. Generating RTLIL representation for module `\$_DFFE_PP_'. Generating RTLIL representation for module `\$_DFF_NP0_'. Generating RTLIL representation for module `\$_DFF_NP1_'. Generating RTLIL representation for module `\$_DFF_PP0_'. Generating RTLIL representation for module `\$_DFF_PP1_'. Generating RTLIL representation for module `\$_SDFF_NP0_'. Generating RTLIL representation for module `\$_SDFF_NP1_'. Generating RTLIL representation for module `\$_SDFF_PP0_'. Generating RTLIL representation for module `\$_SDFF_PP1_'. Generating RTLIL representation for module `\$_DFFE_NP0P_'. Generating RTLIL representation for module `\$_DFFE_NP1P_'. Generating RTLIL representation for module `\$_DFFE_PP0P_'. Generating RTLIL representation for module `\$_DFFE_PP1P_'. Generating RTLIL representation for module `\$_DFFE_NP0N_'. Generating RTLIL representation for module `\$_DFFE_NP1N_'. Generating RTLIL representation for module `\$_DFFE_PP0N_'. Generating RTLIL representation for module `\$_DFFE_PP1N_'. Generating RTLIL representation for module `\$_SDFFE_NP0P_'. Generating RTLIL representation for module `\$_SDFFE_NP1P_'. Generating RTLIL representation for module `\$_SDFFE_PP0P_'. Generating RTLIL representation for module `\$_SDFFE_PP1P_'. Generating RTLIL representation for module `\$_SDFFE_NP0N_'. Generating RTLIL representation for module `\$_SDFFE_NP1N_'. Generating RTLIL representation for module `\$_SDFFE_PP0N_'. Generating RTLIL representation for module `\$_SDFFE_PP1N_'. Generating RTLIL representation for module `\$_ALDFF_NP_'. Generating RTLIL representation for module `\$_ALDFF_PP_'. Generating RTLIL representation for module `\$_ALDFFE_NPN_'. Generating RTLIL representation for module `\$_ALDFFE_NPP_'. Generating RTLIL representation for module `\$_ALDFFE_PPN_'. Generating RTLIL representation for module `\$_ALDFFE_PPP_'. Generating RTLIL representation for module `\FD1P3AX'. Generating RTLIL representation for module `\FD1P3AY'. Generating RTLIL representation for module `\FD1P3BX'. Generating RTLIL representation for module `\FD1P3DX'. Generating RTLIL representation for module `\FD1P3IX'. Generating RTLIL representation for module `\FD1P3JX'. Generating RTLIL representation for module `\FD1S3AX'. Generating RTLIL representation for module `\FD1S3AY'. Generating RTLIL representation for module `\FD1S3BX'. Generating RTLIL representation for module `\FD1S3DX'. Generating RTLIL representation for module `\FD1S3IX'. Generating RTLIL representation for module `\FD1S3JX'. Generating RTLIL representation for module `\IFS1P3BX'. Generating RTLIL representation for module `\IFS1P3DX'. Generating RTLIL representation for module `\IFS1P3IX'. Generating RTLIL representation for module `\IFS1P3JX'. Generating RTLIL representation for module `\OFS1P3BX'. Generating RTLIL representation for module `\OFS1P3DX'. Generating RTLIL representation for module `\OFS1P3IX'. Generating RTLIL representation for module `\OFS1P3JX'. Generating RTLIL representation for module `\IB'. Generating RTLIL representation for module `\IBPU'. Generating RTLIL representation for module `\IBPD'. Generating RTLIL representation for module `\OB'. Generating RTLIL representation for module `\OBZ'. Generating RTLIL representation for module `\OBZPU'. Generating RTLIL representation for module `\OBZPD'. Generating RTLIL representation for module `\OBCO'. Generating RTLIL representation for module `\BB'. Generating RTLIL representation for module `\BBPU'. Generating RTLIL representation for module `\BBPD'. Generating RTLIL representation for module `\ILVDS'. Generating RTLIL representation for module `\OLVDS'. Generating RTLIL representation for module `\$lut'. Successfully finished Verilog frontend. 34.43.2. Continuing TECHMAP pass. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0001 for cells of type $lut. Using template $paramod$037b40c0282e5e21b3b451eb4130db94b930ba3f\$lut for cells of type $lut. Using template $paramod$e2d96f36ef28053ecd27167cd95b944485ac3146\$lut for cells of type $lut. Using template $paramod$ba7f31f246a278c41fa0648a6e0512f63185dec0\$lut for cells of type $lut. Using template $paramod$ea5280fce2698f0f291737e66fca69a1d9d058e1\$lut for cells of type $lut. Using template $paramod$ab8bb87959c5d7cfa27886cee1355b38e054a61a\$lut for cells of type $lut. Using template $paramod$4045162732ff1ef3063f7c74bcf446c45645f6c6\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0100 for cells of type $lut. Using template $paramod$5a99ef460bbdac5233f11c7dd30f99fa400f7eb3\$lut for cells of type $lut. Using template $paramod$8384e66d408d22ab39dfb451efb7879731befeb8\$lut for cells of type $lut. Using template $paramod$a63014c5e66a56dc5e61848489c809a59ebe7c34\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10110000 for cells of type $lut. Using template $paramod$fcff9a7b1687e357a40264efcefe8443c8b2971a\$lut for cells of type $lut. Using template $paramod$2558c329bb5b4a00f8ccab4e2e8b51b456f1d8b1\$lut for cells of type $lut. Using template $paramod$c8f16510db975553c8b0be1064e8f5234175f8a8\$lut for cells of type $lut. Using template $paramod$a03ef989f8f4e1878ce2f5c4e0e3d2dfb54307ef\$lut for cells of type $lut. Using template $paramod$23da582b86241546eace0c8bedadb42614eea4c1\$lut for cells of type $lut. Using template $paramod$5a621b016c894274d07edef48c49b401a15fd796\$lut for cells of type $lut. Using template $paramod$e6a488add0b5a2d742e2ae29f62ce7616e04271d\$lut for cells of type $lut. Using template $paramod$5c7d886f3b88971ac55fed4bca034a87bf180f7d\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000001 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010000 for cells of type $lut. Using template $paramod$8d7a8d6e3356de09670738ba85f2c6b874f6b06d\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001100 for cells of type $lut. Using template $paramod$df196ed0a1da5c4a58c5e08a1dac304fd3fccaab\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100010 for cells of type $lut. Using template $paramod$89e657d3deb4e004585d03c823ba6873736f7939\$lut for cells of type $lut. Using template $paramod$0acc8d601702e9b60288baa3d5cf1d38d4f22457\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001010 for cells of type $lut. Using template $paramod$eab8c2e20ad6848564bec45c7148558972138f5b\$lut for cells of type $lut. Using template $paramod$7e3d8ac009723e554811ad53385162c0e6a41625\$lut for cells of type $lut. Using template $paramod$5a3b726670ce434c27ab6d39e16edfbe9baa03b2\$lut for cells of type $lut. Using template $paramod$dcba541ad53a9873d71bfba6c13dc2a8e2a60a79\$lut for cells of type $lut. Using template $paramod$086937f2e69afb7c662e45e33f5a7616aa818da8\$lut for cells of type $lut. Using template $paramod$17f1b90a5c6d7e6613368c5e7d3f44dd634e59e2\$lut for cells of type $lut. Using template $paramod$9640380942618015231dc80e07fe9e6281ac216a\$lut for cells of type $lut. Using template $paramod$6e238df02989b317f10820a22773676e71120644\$lut for cells of type $lut. Using template $paramod$c99909b0661e592bb9701b15671a24d993e3be48\$lut for cells of type $lut. Using template $paramod$611e5863a30eeacc19b5015939188ef7be763eab\$lut for cells of type $lut. Using template $paramod$b269b7582a2f84c34989beed900b210bf1a41305\$lut for cells of type $lut. Using template $paramod$dc6f85fd2ef686028bec4c8778568a6cd70a2a6a\$lut for cells of type $lut. Using template $paramod$6d6beead1425af15cf78b27fd9b11b41b5d4bce8\$lut for cells of type $lut. Using template $paramod$ffbdf3001f0d2972a014e8e8948b59dcda97f633\$lut for cells of type $lut. Using template $paramod$e01a027fedb28671a20c130493a89c7afd4e87d3\$lut for cells of type $lut. Using template $paramod$658b9ed803f0d3d335616d3858b53e0a2522f1e8\$lut for cells of type $lut. Using template $paramod$272652f6c6fbe9a75eff76e45cc7e2788835518b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10000000 for cells of type $lut. Using template $paramod$571404c0889eaf57f492cb5e37f8acb5df5852f9\$lut for cells of type $lut. Using template $paramod$b2192df6f90569fea4015d0a6658bdc192199f95\$lut for cells of type $lut. Using template $paramod$703a13a751e631ef123f38f7d2125aeabec0f94c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101100 for cells of type $lut. Using template $paramod$369624b024132c6d54ca5ca0dec0683515f4f203\$lut for cells of type $lut. Using template $paramod$9e394303e290a474880b56f98766417009256d93\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00011011 for cells of type $lut. Using template $paramod$e5e9da8fed769f971686eed8c5eea50e61f73aaa\$lut for cells of type $lut. Using template $paramod$a197ef6f3b51d411ae3e5b42b5d77a606c4fb11a\$lut for cells of type $lut. Using template $paramod$8da02996bc6ce025fcc2ce1dafd66f4b38a423f1\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011000 for cells of type $lut. Using template $paramod$27df28e46e839bc9b3f2f988c1588bba87b49401\$lut for cells of type $lut. Using template $paramod$9126804ae7ac07423eb9d14c035f33dd3c39c83c\$lut for cells of type $lut. Using template $paramod$cdc5bba2585477f1744fd1f869bebc8beb23d707\$lut for cells of type $lut. Using template $paramod$af0c0e3aea5daa768aac0697b02a2a49301800b1\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0010 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1001 for cells of type $lut. Using template $paramod$a0219a10af5eeac70b87184a9a0bdd503910b00e\$lut for cells of type $lut. Using template $paramod$e15085f73db49a7ff8978584c77c14c5582438c2\$lut for cells of type $lut. Using template $paramod$06e62c2045624c211a1abe4f2f36c8f22c688165\$lut for cells of type $lut. Using template $paramod$b7685cb0c8a6753256bc84bc31d36a443c15fab7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1000 for cells of type $lut. Using template $paramod$464d286cc302627c2e44b6c4a8450c9bebc28389\$lut for cells of type $lut. Using template $paramod$d6ca727e39f31d51d29072e0f33aa09c65e37336\$lut for cells of type $lut. Using template $paramod$82b4a585d1edcb5c6e755dc9bd3392228a1c1304\$lut for cells of type $lut. Using template $paramod$44f6f0c0bfe5b6d2a869195ab8d9a5463325cd31\$lut for cells of type $lut. Using template $paramod$e343406e5e7142fc2d1b3b4b19848be7fa882f02\$lut for cells of type $lut. Using template $paramod$153c6cdaaddbc43e6ef3facd06aa851de33910ae\$lut for cells of type $lut. Using template $paramod$3ec83cc0e0ec241030d7c40596e80d62c44c0f57\$lut for cells of type $lut. Using template $paramod$c52825d0b1a0cfc6362b36af6d13149a97d3e424\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01000000 for cells of type $lut. Using template $paramod$873c285bdccf0ac2b60d2304ea5cd14bf211d2a6\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001000 for cells of type $lut. Using template $paramod$f8f63b209b7230e81958663ff24fef1613156af7\$lut for cells of type $lut. Using template $paramod$f3e1547c4b47e64c590e75cf09078b2507c8cc75\$lut for cells of type $lut. Using template $paramod$1f5b5e1ff91ef0b6c1a9468095fcb8f7e0ade0c2\$lut for cells of type $lut. Using template $paramod$c6932d0419018208e5384761d78f0ead9bcc772f\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0110 for cells of type $lut. Using template $paramod$732e1780b927811a5c042d297e1a8e86e2cb3916\$lut for cells of type $lut. Using template $paramod$b0c5f9613893d639775ddd83483e8aeed5209ca8\$lut for cells of type $lut. Using template $paramod$59848369e5f408d15e0c8c710ff689c98ce02999\$lut for cells of type $lut. Using template $paramod$dd3e9128c1079b5478505b1c890849498ea70d68\$lut for cells of type $lut. Using template $paramod$47d97c9c1137cd50ea0954fbfa78aa22b83c1cc8\$lut for cells of type $lut. Using template $paramod$1f1ba2a145b5e3b6c6fe8bf542f757e55b69a41b\$lut for cells of type $lut. Using template $paramod$cf26e78a72065d53ffb657752001dcb9a1b50b88\$lut for cells of type $lut. Using template $paramod$eeed37ce45abdda29d3e180f2d1dfc0c4c376530\$lut for cells of type $lut. Using template $paramod$e85b6eba0dacefc5f73f8748159b8b9599212afc\$lut for cells of type $lut. Using template $paramod$e51a8a571bee774247b38f52d6e85fd62ae52cea\$lut for cells of type $lut. Using template $paramod$c6d3cc319ca2f8027789b2bb7c2750659532a5f1\$lut for cells of type $lut. Using template $paramod$9891217114ca63a6e9d48073351d843bb1d46faf\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1101 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001111 for cells of type $lut. Using template $paramod$c79843a7a21eda73c585e76a35dd51b0a4d6fd36\$lut for cells of type $lut. Using template $paramod$4f09540800ac966d6e31a7ccf5f32a559a8ce57a\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100100 for cells of type $lut. Using template $paramod$18f5ec715c75305313723b2241c5943a1bb6d4c6\$lut for cells of type $lut. Using template $paramod$eba7de026ff587370e320127e266317dae097a89\$lut for cells of type $lut. Using template $paramod$4853050665c020c8d21fb1a749196950a09d9df8\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111000 for cells of type $lut. Using template $paramod$8512f4fb47fa9596f76cdbe5b407a5b54df368e7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000010 for cells of type $lut. Using template $paramod$30305e55a780880b9c824fe3509a4d981acb0f2b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000100 for cells of type $lut. Using template $paramod$47a8214374025465e226fa66bee690ff33268a25\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1110 for cells of type $lut. Using template $paramod$849eede967b3c8935808391f1a9ce50503aa897a\$lut for cells of type $lut. Using template $paramod$f58e0d90afc57a738914697b6a4a7319b30d7e7e\$lut for cells of type $lut. Using template $paramod$1d03e71e1dd966ff61bb7d24ce55079db07afa86\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001011 for cells of type $lut. Using template $paramod$f3ada871809f362efd8eb0c4fb952bb5c98f0750\$lut for cells of type $lut. Using template $paramod$e5b29079c1a88f3fc663c746ec8e3359690ef674\$lut for cells of type $lut. Using template $paramod$66caeb00a39d236782a97659e3bd99621b74681b\$lut for cells of type $lut. Using template $paramod$0c5a54c406cdb1ed108583f5d43071050a5e4d5c\$lut for cells of type $lut. Using template $paramod$fca001e3e0b52158a872e76e56c01ec10dfbb1de\$lut for cells of type $lut. Using template $paramod$d74f27ecdfbf562ce0161f824cec9778b19ee549\$lut for cells of type $lut. Using template $paramod$b93d1ea7a612a32c185108f67a153d44ffb9aac2\$lut for cells of type $lut. Using template $paramod$ddace04fba544e6adc4cdda6a50048ddd7c111af\$lut for cells of type $lut. Using template $paramod$bba54c1ef87367812b4c15f4aed5ac70773df775\$lut for cells of type $lut. Using template $paramod$037be5c00d8a02858cdb1ab049b58a0133287ff1\$lut for cells of type $lut. Using template $paramod$373d637619c29cb9150902df9528107e5f3b8288\$lut for cells of type $lut. Using template $paramod$9dd298ae76fb41ac94779a83c068607fbc09ce4f\$lut for cells of type $lut. Using template $paramod$6fedbc9a3e7bc8270209efcbc2195dab079e6a4a\$lut for cells of type $lut. Using template $paramod$085cb83d0db09780ae5aa544a0f286ba9445143f\$lut for cells of type $lut. Using template $paramod$c28a8b7ce0535d090c4cfb52e9c74affd52b110c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000001\LUT=2'01 for cells of type $lut. Using template $paramod$181733d3e31dcdcea8c52d0a4fc252b3aa453564\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110010 for cells of type $lut. Using template $paramod$f921ab2c451d17e196d1dcad0b6d434881387fe3\$lut for cells of type $lut. Using template $paramod$1cabbe2f17ea824b0f9f091fd1dc13fff0b3a362\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001100 for cells of type $lut. Using template $paramod$b04f0510561c4bbb703d2e39c6eca682b920366b\$lut for cells of type $lut. Using template $paramod$c35492cebda5b908d10e5976bd14d50fda8ce5fd\$lut for cells of type $lut. Using template $paramod$18368a3da11a7221c7fb674ec80ee0d0bd64b883\$lut for cells of type $lut. Using template $paramod$03da3dcc7c75ea9c9148a6fc634f76a9d0cbefef\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100000 for cells of type $lut. Using template $paramod$f448042cbf43e478e93408e5e83c7e8fa9872fe6\$lut for cells of type $lut. Using template $paramod$6344579639861059e2f5a479b1d3da25d9cea9c3\$lut for cells of type $lut. Using template $paramod$fa45f28daf300aaa781ee4b9baa5ce968b1d8c66\$lut for cells of type $lut. Using template $paramod$2014354416722209de7d48370ab008bc2278a034\$lut for cells of type $lut. Using template $paramod$497aa714ffa399423aed5fe789a8dd35df92638a\$lut for cells of type $lut. Using template $paramod$f5c190b70f0b3d9eed9bfab22c6be8c729d88264\$lut for cells of type $lut. Using template $paramod$69578f0888c77b84292fb200e680c7e9f4151889\$lut for cells of type $lut. Using template $paramod$7491e7206ae8c682d288373efe06a43b67c277cf\$lut for cells of type $lut. Using template $paramod$ddf9e0e8b4f018d57a6abc0707d1d05fce89e23b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110001 for cells of type $lut. Using template $paramod$a5516fc31d1e552de2435200bb732b4d4ad63a9c\$lut for cells of type $lut. Using template $paramod$b3f38a0a636c96d3f8b781090abb9b5a43dc828e\$lut for cells of type $lut. Using template $paramod$c554b816101be4e9401218de04230036e0b14c79\$lut for cells of type $lut. Using template $paramod$2646f79d883fe55c6115f3a5c1d9911a69497523\$lut for cells of type $lut. Using template $paramod$6410b45ea4d1e53d7fd209ee0400ef5c9579c44a\$lut for cells of type $lut. Using template $paramod$9cf976b4f3a576aa2cd6b51304cf5de7fc836fbd\$lut for cells of type $lut. Using template $paramod$16bad9bacd2770ffad6ebb4b93bc52acf56a3fb1\$lut for cells of type $lut. Using template $paramod$d2ef43814113ff73f9ebc73be6b7b228fcced45d\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110010 for cells of type $lut. Using template $paramod$f109125ffdede8321ac65719b1066c75ff1eaef0\$lut for cells of type $lut. Using template $paramod$bf301c578393af0b254f86cc4f729d26ea504bb5\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110000 for cells of type $lut. Using template $paramod$428455bf683af997b0aced216a36aa68a8816e79\$lut for cells of type $lut. Using template $paramod$620586420e818d3afa7e5b51fcf19f5c6ea83ad4\$lut for cells of type $lut. Using template $paramod$102e8c3fc4cdad247087a86a60a007b40da7e6f9\$lut for cells of type $lut. Using template $paramod$f5f41ee5d60dede31a2b59f58ec46b167939d713\$lut for cells of type $lut. Using template $paramod$6596ee1e5ee99c02dfc340db4b141f100396ec1d\$lut for cells of type $lut. Using template $paramod$ed7dabb38d9ef55522f15a1d87b4800a2a5e1ea0\$lut for cells of type $lut. Using template $paramod$0c822c65361ce832091b6c90f1a02f1ee0b109d4\$lut for cells of type $lut. Using template $paramod$2ea69c779d6c1b79ac5a87b0d1523c67d5628dba\$lut for cells of type $lut. Using template $paramod$fdcae86fcfd036c1880a04306ae771a9d7579c31\$lut for cells of type $lut. Using template $paramod$f85f559f94086670b143d2cc1f371e9b17945bb1\$lut for cells of type $lut. Using template $paramod$486daa210a62da0fe8289fb90d6466b6db0c3890\$lut for cells of type $lut. Using template $paramod$6023c671e114c4eb0467aa8a0b08e183f33ec2fd\$lut for cells of type $lut. Using template $paramod$2978a73989be3e1278af72b9db666c30fa0ff85b\$lut for cells of type $lut. Using template $paramod$9f28024f8bd65468c8db2c3aabc343208c469582\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101000 for cells of type $lut. Using template $paramod$0d26e42822227428593a6f2ed183ae9b22d4b575\$lut for cells of type $lut. Using template $paramod$151cc61869e7cf4cf4bd07f6ebd8187604529cbc\$lut for cells of type $lut. Using template $paramod$7fc325150916e09e21db63cc9672b99a89ef9301\$lut for cells of type $lut. Using template $paramod$de96da5f1bbfbd6e78889c4a280998249b52831c\$lut for cells of type $lut. Using template $paramod$862635d0958c52e0798deb5dc6ad81cd865a0974\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001001 for cells of type $lut. Using template $paramod$1d2fc2419ae21fe4a113e125ff9e7e1bfe902f41\$lut for cells of type $lut. Using template $paramod$903b13a78e0201d81ee2cb5e579e213337de2eac\$lut for cells of type $lut. Using template $paramod$f042de7342b2b10a6806821f7b41d4a327cbae6b\$lut for cells of type $lut. Using template $paramod$d6a246575d0ba3dcbbccd768ad41b602f82ff057\$lut for cells of type $lut. Using template $paramod$9ef58b53c459106d151e3ef2c0245f0a2235eefe\$lut for cells of type $lut. Using template $paramod$7f7120086b74d2ad6501bbaa30925c183268a1c2\$lut for cells of type $lut. Using template $paramod$84af9aa7884bdfd8b8221c7bc8a83f68641b61d7\$lut for cells of type $lut. Using template $paramod$44e0f060b42d253c73882f0f11e4aed54ee956e7\$lut for cells of type $lut. Using template $paramod$9bdc414229f06e785dc8fd97a243faa9336e164a\$lut for cells of type $lut. Using template $paramod$973818279bc95792902f3c09371fd2407d04a2a5\$lut for cells of type $lut. Using template $paramod$3b7f7f3d85a1c341eb9bb72b457d31acefe503a5\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111000 for cells of type $lut. Using template $paramod$b28b4ecbaa07efdcc51c93348ccdc2395f8b41c1\$lut for cells of type $lut. Using template $paramod$e1b5328949db147f340050f9739d344fc4f96215\$lut for cells of type $lut. Using template $paramod$246006d276d15b0766d6d890a33a28800bfa7295\$lut for cells of type $lut. Using template $paramod$4fd3428c4b8b1accf8f8fb4bb88555a2b5fa688d\$lut for cells of type $lut. Using template $paramod$9f6bc32305fc769fa11e4327bee073e3fbe84018\$lut for cells of type $lut. Using template $paramod$67913137ca3f966ff2f569165be4786b1518b76c\$lut for cells of type $lut. Using template $paramod$c35ad3063d5038410210ddc72c1fd5fed46413b4\$lut for cells of type $lut. Using template $paramod$be48d952fcad8a16b8d84daa4c48a3065f343e5e\$lut for cells of type $lut. Using template $paramod$e21ab7140f5d70efd4439745db2467fa93905bb6\$lut for cells of type $lut. Using template $paramod$19fe1ba23ba7fdb117bb75432cd43fbe2b988d53\$lut for cells of type $lut. Using template $paramod$2d493aa34633a642bfc69ab68cceed2b29b9c2c5\$lut for cells of type $lut. Using template $paramod$f503ae6dd13af4ce255f26a38c5b2bb42d3444fc\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001101 for cells of type $lut. Using template $paramod$61e71a6b219041f218388451735d309581e08c5a\$lut for cells of type $lut. Using template $paramod$bf5d49d1d163dc2eda0f26bfead34f34b8808b3d\$lut for cells of type $lut. Using template $paramod$da24d1fb17785734b91c6fc20677d0522f5909da\$lut for cells of type $lut. Using template $paramod$c1a909080ec76944fa258a19c3ca35c98563249f\$lut for cells of type $lut. Using template $paramod$f672f1e5ea7eaf40630014635549af40fc023a51\$lut for cells of type $lut. Using template $paramod$d28c007f5f10b98689681fa7da5208c9de5b5cca\$lut for cells of type $lut. Using template $paramod$55c459d0e02f18e159efd3c29a7808240c668886\$lut for cells of type $lut. Using template $paramod$5e200809479b6baf491141759efaeb73693e9e63\$lut for cells of type $lut. Using template $paramod$f43c7a3534886c9fc024578df480f8829fcb6afa\$lut for cells of type $lut. Using template $paramod$52fa1b2073b9054923f466bbb768e0ea7c69c9e3\$lut for cells of type $lut. Using template $paramod$65f5880202dc024b01da40b9a62797d33311f343\$lut for cells of type $lut. Using template $paramod$d315acbf930db7c20b3f4ac2dad3b7982b1f437c\$lut for cells of type $lut. Using template $paramod$a6ab549ab795759bab63020f8df8addf6385803a\$lut for cells of type $lut. Using template $paramod$b7c71b15f8a9b579b50796efa0a5abd845c1df9f\$lut for cells of type $lut. Using template $paramod$5dd43ae400ca4c3f35356aab929b0e678d36d0f1\$lut for cells of type $lut. Using template $paramod$2731f51c9112d7289345f502e051a90b73e27fad\$lut for cells of type $lut. Using template $paramod$02e55836878a22873310c70e8a2ed14028fabfa5\$lut for cells of type $lut. Using template $paramod$854ff015d7a74911eb1a429febd154d82349cce6\$lut for cells of type $lut. Using template $paramod$0684ee06b677a6dba149eee21b91b09777684302\$lut for cells of type $lut. Using template $paramod$e657149f9a0b67cc74c029726b8a93812bf7acc5\$lut for cells of type $lut. Using template $paramod$70e1b1098f05f8bc9abbd13525eb0341773fcc8b\$lut for cells of type $lut. Using template $paramod$251994398653c4cf8de320f1e306e535d5d2d624\$lut for cells of type $lut. Using template $paramod$ed9408d4bda02f896d4134eaaf7daf588af5dc11\$lut for cells of type $lut. Using template $paramod$23395325b56d063886fc56b419de630a473fd983\$lut for cells of type $lut. Using template $paramod$1f313f85ef575d13bac75382f04905a8c8be8f57\$lut for cells of type $lut. Using template $paramod$757c055b2d591f99a56beeb4bd1bdff266f67334\$lut for cells of type $lut. Using template $paramod$0d4742cff20cb0e0e40b9af6c0257e3a276c0c2b\$lut for cells of type $lut. Using template $paramod$8bf3b88575b1c9f0202f743abaed73d054c58ba6\$lut for cells of type $lut. Using template $paramod$b925dd576e6ac7e5c5f7f961b39cc4c2fa9f1c52\$lut for cells of type $lut. Using template $paramod$04342f4032bd7e6ca8787c2f0a4f0ed6a415d32e\$lut for cells of type $lut. Using template $paramod$1e212cbacd4fede1d13c675a90a43628a28f56ad\$lut for cells of type $lut. Using template $paramod$56c6fc98268f6966dd23dcb4af9b8f5298fa7ead\$lut for cells of type $lut. Using template $paramod$de3406006c16cf7256a0a2fdcbb1597ad8f6cb54\$lut for cells of type $lut. Using template $paramod$b68f9800cc1bf69afcfbc0567a25e43ebb01456c\$lut for cells of type $lut. Using template $paramod$332a399730bfc61adea04021a76b1c4e4030f37d\$lut for cells of type $lut. Using template $paramod$4db63d378ac1d7cedadbe04f1c50a9f2411b2e7e\$lut for cells of type $lut. Using template $paramod$a9cc77ddc8c5b4f6a4d0854d18d68b74c5969526\$lut for cells of type $lut. Using template $paramod$e7b36b0d91b09eb7f9bada1b511a39f8367c34ad\$lut for cells of type $lut. Using template $paramod$d293278ea85effbafba328cd7d53cacddff56bf0\$lut for cells of type $lut. Using template $paramod$71bc76a45e6206d1b6130f49eb850b00f2645452\$lut for cells of type $lut. Using template $paramod$c0e395c2d0dfbafa147a6aae7cfc1897ce26affb\$lut for cells of type $lut. Using template $paramod$e6062a78edaf68ec0dbea59d4c8352dcb2ce0366\$lut for cells of type $lut. Using template $paramod$4b9b235bc4444ff899bef0c648e4109b26737f1a\$lut for cells of type $lut. Using template $paramod$332530260df33f1e6567b344a898a29636fd4f0f\$lut for cells of type $lut. Using template $paramod$5a7378348bb6609faf237026d17f16554763e541\$lut for cells of type $lut. Using template $paramod$757537d8d9e7e72a95748fa137bfbf796a578c70\$lut for cells of type $lut. Using template $paramod$9d3f1b7ccc10f44d7a470c921f48fc669223bc34\$lut for cells of type $lut. Using template $paramod$8091f1055b08cf62a067eb9c712980d4ac8978e3\$lut for cells of type $lut. Using template $paramod$23e7f8876507e8b26c269ed0c54867f869317eed\$lut for cells of type $lut. Using template $paramod$d8ccb414c5a935244aadbad48799ff477f0485c7\$lut for cells of type $lut. Using template $paramod$1726f9c077d0f3ad475672063a89f986bdcb221e\$lut for cells of type $lut. Using template $paramod$ff1fa78c5d1b65a3748fc2d9810f97f160c458fc\$lut for cells of type $lut. Using template $paramod$40e4b81ce2d04e6d36adb82ceb4747fb6b0bd669\$lut for cells of type $lut. Using template $paramod$46a64e40864a1f47f66c52ab5959b931c09ae0c4\$lut for cells of type $lut. Using template $paramod$8ad866bbc4c3a0596701b99858a2da014a3cc9cc\$lut for cells of type $lut. Using template $paramod$d23c40f1210ebbf49a9ce5976fe8aabeee99798b\$lut for cells of type $lut. Using template $paramod$bcd2cd97e90a9f4dcb3319a007424feee9868042\$lut for cells of type $lut. Using template $paramod$053427f7f5ea07d59a8194fc808f0dbdb8dee48b\$lut for cells of type $lut. Using template $paramod$e1e8fffafda7720b75b7e5a8e63c1bd77314d3be\$lut for cells of type $lut. Using template $paramod$84790add1e2b9ef49f2b4108632bb1f043b6679a\$lut for cells of type $lut. Using template $paramod$592a80abc08dd12fe78e8ba336f582a4ddb301bd\$lut for cells of type $lut. Using template $paramod$2bc4db8bd4fb8d056f72dd182e27de9a154d9eee\$lut for cells of type $lut. Using template $paramod$525425bfbe66d72ee88210d059d9a74f55ab8de8\$lut for cells of type $lut. Using template $paramod$686040a6946217fb8cbe14a1f78309cb287b4969\$lut for cells of type $lut. Using template $paramod$f52ac008435695f9b4157529669c6afa0327a863\$lut for cells of type $lut. Using template $paramod$dfdfd4ed843d451cf09dde68b41f4350c75b1025\$lut for cells of type $lut. Using template $paramod$31b1afed6979f2cdee1b537cd42bf86a5659fe56\$lut for cells of type $lut. Using template $paramod$ffc05a226acfd31e6af5121b0fe98d90f7462941\$lut for cells of type $lut. Using template $paramod$072dcb6b8c2ec9dab11c4abd0889026e159461a1\$lut for cells of type $lut. Using template $paramod$dbe808c1206c021f028120cff3b67bf88bdeabd6\$lut for cells of type $lut. Using template $paramod$d5ae92a986bb3aa5d994cafbe0421af455aee920\$lut for cells of type $lut. Using template $paramod$17087967d5b06f73682a4be57fe09ecae078cdf7\$lut for cells of type $lut. Using template $paramod$b50bc71ac6a501b4e0ed7d537f0f15aa8f4be13f\$lut for cells of type $lut. Using template $paramod$b183b7bd14927b811180f1040ed11cf2b621cdef\$lut for cells of type $lut. Using template $paramod$b8320e167a051b2adcf4ef04261a2a9f5a62a12a\$lut for cells of type $lut. Using template $paramod$1dfd27cc850aaa6214abf7cf67be675314ffba80\$lut for cells of type $lut. Using template $paramod$f346a69d74b7c90eba33377bf539bafa3f03c13b\$lut for cells of type $lut. Using template $paramod$3bc380f55cf0136901ec051751165a958aac4547\$lut for cells of type $lut. Using template $paramod$c362ad8dedc7d166ed978091aca319ab1e81a2d4\$lut for cells of type $lut. Using template $paramod$b2e0825f64bd811a61934a72f5cb6d10453c43c9\$lut for cells of type $lut. Using template $paramod$106b31bb2b74f3701713135ece14c51f18083ccb\$lut for cells of type $lut. Using template $paramod$3702268f692b8bf258e428f65d3bca4e1f76d98b\$lut for cells of type $lut. Using template $paramod$d498ff9f8ceddd1b298e88665d692c9bb9c958e9\$lut for cells of type $lut. Using template $paramod$101238f3d8d49ab12a9b49a2f01cd503b26e9c61\$lut for cells of type $lut. Using template $paramod$b13a2cd0fbb9ebaeedad35383f0b23ffebc11d94\$lut for cells of type $lut. Using template $paramod$6d05ee5be4fbc817e6482b590e1831ddde15ffbe\$lut for cells of type $lut. Using template $paramod$58df2c605746858c7e53492c8f57d6f1fafa12d2\$lut for cells of type $lut. Using template $paramod$04f6a8d7c160d1c5b2060d1a4b6f1869a0705d7e\$lut for cells of type $lut. Using template $paramod$f62bf7b9bf368c5a044dd284e6192cdfb023ea0f\$lut for cells of type $lut. Using template $paramod$77e9756b85722651592b38b2a1f8f582b1e2d435\$lut for cells of type $lut. Using template $paramod$d6e2513a50e21ca2872c5724bdfa4b0740a7bd21\$lut for cells of type $lut. Using template $paramod$e9181e8a1a0a1a4d18e6a3e16c898aa599c97d91\$lut for cells of type $lut. Using template $paramod$3b8aeeaad07938cdbc11b006f2fab3d0f255c322\$lut for cells of type $lut. Using template $paramod$32bfeb63c63a8f496d71017de70f09d25f86354c\$lut for cells of type $lut. Using template $paramod$fbd55d1b12935a22902cde46a0a7b6e309d6aa42\$lut for cells of type $lut. Using template $paramod$1594b95d97f3040818e075db2c0e0a2c97ab3716\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010011 for cells of type $lut. Using template $paramod$ce15874c299a587dd16825ec2d2d2759b547554e\$lut for cells of type $lut. Using template $paramod$ef45e73cbc94619cb3dd07fdb8a099eae5d091a3\$lut for cells of type $lut. Using template $paramod$2e0c101d30f80073aa610a7c8862ce4fe1de2872\$lut for cells of type $lut. Using template $paramod$0703191a7e2bb64fe88a4de270ef2be78215f0d8\$lut for cells of type $lut. Using template $paramod$9dbf02b42660af66289721f3abd7d3ccb20c7d8b\$lut for cells of type $lut. Using template $paramod$ec4f33664419cd1cef9359bed0e9cb4d20d1f0f6\$lut for cells of type $lut. Using template $paramod$26df82e1601e8867218520cd443aa8bd7e538772\$lut for cells of type $lut. Using template $paramod$200337237619ba4c0bed9a492562f1d1b57fb569\$lut for cells of type $lut. Using template $paramod$c4af7f2c88559dab5f19c52110f1d82cb05f465f\$lut for cells of type $lut. Using template $paramod$5234fc2ae8d85fb1c880f0e7d7ddbc8d1ca9c03d\$lut for cells of type $lut. Using template $paramod$2a5ad63cb2c261dda5f4b1fb9368e0f29b47b471\$lut for cells of type $lut. Using template $paramod$f8e8e1e1389c03e530e143893bb2f66bbf48cb04\$lut for cells of type $lut. Using template $paramod$18df3812bc12364e5ebcb6c3ed05c0294e4c26fc\$lut for cells of type $lut. Using template $paramod$de157981d1ff0357ce91bae7484e1779e32fbafd\$lut for cells of type $lut. Using template $paramod$3f14ff2f42e4e63df47fac85fb1c8a6a86229315\$lut for cells of type $lut. Using template $paramod$7b78c93ce9345dbdef7d4f501ebb76ac0cc4e143\$lut for cells of type $lut. Using template $paramod$f45429e380905f064bb0bad3a8bdb941708e63a7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10010000 for cells of type $lut. Using template $paramod$33e58adf67c6b686a154c9ce8ebbc4b04b8cabc5\$lut for cells of type $lut. Using template $paramod$20fed413a0dee4acf2c6f3b58aa5104af2cc0bd2\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00000111 for cells of type $lut. Using template $paramod$8921e608da57eb3483e6390a11938d2bd4d7314d\$lut for cells of type $lut. Using template $paramod$c7eaad6a588218ef0ba5a17502d003bdff2bbd3e\$lut for cells of type $lut. Using template $paramod$b511431ca3c86862e6b3f90e12838dce5a22c954\$lut for cells of type $lut. Using template $paramod$33b31cd4edd141b1e29467a4dc2382f345a9c963\$lut for cells of type $lut. Using template $paramod$61d3e6c2a7e9ef9f78fc161f02073282bf0462d6\$lut for cells of type $lut. Using template $paramod$36f4d6846d09bc2a433f59baf530d049e0cb9cc1\$lut for cells of type $lut. Using template $paramod$10d58c61af04fbca0cb58c41f6a660c52f5d68cb\$lut for cells of type $lut. Using template $paramod$16b7038461fb9ddc166f36ac19cffc9524d47287\$lut for cells of type $lut. Using template $paramod$143e879a28fb21b6cc6d9d203db157624cb85d42\$lut for cells of type $lut. Using template $paramod$219b71aec9a19e7a27754ed85a7d6cdad9e5ec96\$lut for cells of type $lut. Using template $paramod$865395c0228487a64a8e4011cecafc2c64b79f2b\$lut for cells of type $lut. Using template $paramod$018d71a0fe325d6362687fe53ac13dd6340e400d\$lut for cells of type $lut. Using template $paramod$36adf10f89189d89a721e1e459cf6512a96ffb5b\$lut for cells of type $lut. Using template $paramod$ffc2ea81a65101fbef8a332deddf112494d27163\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101110 for cells of type $lut. Using template $paramod$4ab1ca5062671c6511911008cb37cffacde460de\$lut for cells of type $lut. Using template $paramod$ee4f63119180833fb99ef54ff5ddb0c8b20cbb9f\$lut for cells of type $lut. Using template $paramod$0ee0167fb5dd83bdfe7197fff23e2c7146c57037\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11010001 for cells of type $lut. Using template $paramod$097592bb16245531f0716c5ddb18d7090f9c7d9d\$lut for cells of type $lut. Using template $paramod$53d1295e92eea38a512b9ce693445c7190afdb5d\$lut for cells of type $lut. Using template $paramod$80345ec4bc8d289ed10be84247f600cd70e87681\$lut for cells of type $lut. Using template $paramod$51bfd21ee6e8cf22620003d9b21a811eb5d19b75\$lut for cells of type $lut. Using template $paramod$c8e323f8c9fce5b7f8431ad11ce712964045a822\$lut for cells of type $lut. Using template $paramod$af01034afe1bdbc87587d263805971d96e724ed7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010100 for cells of type $lut. Using template $paramod$db8d333bf66c0cdffe51960a4478272318cfbbaa\$lut for cells of type $lut. Using template $paramod$edfe6773e2ae95f1f17ad5bea62c0e1b079fa667\$lut for cells of type $lut. Using template $paramod$eec22efc31481e6a2706a92743e67f4f90bad45a\$lut for cells of type $lut. Using template $paramod$53734b6248504341cde8aaff29d0e2cd855efa5a\$lut for cells of type $lut. Using template $paramod$4b2297966ddb718657b80566604f97685ffc0120\$lut for cells of type $lut. Using template $paramod$05dd5d01546eff9da45f32c923ffbe0f9afdb118\$lut for cells of type $lut. Using template $paramod$b1680225cc6a5792caa95f54b8b3218fae21705d\$lut for cells of type $lut. Using template $paramod$e20929e9403e90ee4d3e69df12e3a13ed9888c86\$lut for cells of type $lut. Using template $paramod$64f43dc318f4c77b4186c3ebcba0aa7863e84359\$lut for cells of type $lut. Using template $paramod$a7c07944e10969b2e1fd563a5b72f89493cb3705\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010011 for cells of type $lut. Using template $paramod$01e96e53d77f79e0721c00741bd5ab06a9e25a93\$lut for cells of type $lut. Using template $paramod$6f149f4a0a4acdd39ef1c1fa3a4f5a4dfd75ed22\$lut for cells of type $lut. Using template $paramod$e019cb14313283ce60b57907d30cf3eefa00a93d\$lut for cells of type $lut. Using template $paramod$7bb6a37e65823eeb4b38c370fec30ab082759a14\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101010 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'0111 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001010 for cells of type $lut. Using template $paramod$f28aede8a07a53ff316cc6f8627c7d8a2337a88a\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11001000 for cells of type $lut. Using template $paramod$81d8a60fd95b1a9f9ef71c12a774ae6988cb9fd5\$lut for cells of type $lut. Using template $paramod$adc0b354bb960519a616db7423a6274fc380540e\$lut for cells of type $lut. Using template $paramod$6cf3af45e53e3262a3cbe3667dbe8957fd5e9d12\$lut for cells of type $lut. Using template $paramod$e9c77024ca501b890c641f9c0b10e27242db8730\$lut for cells of type $lut. Using template $paramod$b45308ffeb4031bc5d55ef31b149afd94d3d7565\$lut for cells of type $lut. Using template $paramod$7c10da2741b95c679da643f74e8aa9472416631e\$lut for cells of type $lut. Using template $paramod$a15fd389a2f54cb7b94707b25934d226e68d9e2e\$lut for cells of type $lut. Using template $paramod$438733590234373c3154a23071e2096f0320cb50\$lut for cells of type $lut. Using template $paramod$2d73cf21e7a3b53006ebbae47ecc48e73975ec46\$lut for cells of type $lut. Using template $paramod$d750041ede21fd9873becb06293199fd1fbc9a7e\$lut for cells of type $lut. Using template $paramod$12fb017f90e7463fe74789d2ec23494cce2be24a\$lut for cells of type $lut. Using template $paramod$4bf8ce4ba3837f34813021ea7ba48081e9887a3e\$lut for cells of type $lut. Using template $paramod$d11fd0cafe28c6509f05d39c9d5671060ee4e821\$lut for cells of type $lut. Using template $paramod$08a16e25f6e3a830446cd389fea8b17b277e0617\$lut for cells of type $lut. Using template $paramod$2868ddb1abe7777b006adf7ea2d56cb74ec17821\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100001 for cells of type $lut. Using template $paramod$a5decf35c8e89d7ee0a60057106759110775301b\$lut for cells of type $lut. Using template $paramod$edc795ba2905c7b69c1e3ac7c6785325d556d47d\$lut for cells of type $lut. Using template $paramod$891d17c049ef97ffbed57a5d4edf3f9e83d4f776\$lut for cells of type $lut. Using template $paramod$4888f2121a1fba4d507203534ae54782bc81e02e\$lut for cells of type $lut. Using template $paramod$09fa89531d411d822576d2550b48621f24953723\$lut for cells of type $lut. Using template $paramod$bcaf97fc9fcab6cbac5a062cda44ff72520017e6\$lut for cells of type $lut. Using template $paramod$9beb20484915c3ceed2d54a774978646d1bad509\$lut for cells of type $lut. Using template $paramod$ea2ed7b6000d8bc7d418a28d22dd562f94afdeff\$lut for cells of type $lut. Using template $paramod$0fcb06ed76df01e8d45bc2b9e6c8a9b43fa42cb4\$lut for cells of type $lut. Using template $paramod$c50bf79556f7c35c37bbd3d892f752a0609f21ca\$lut for cells of type $lut. Using template $paramod$be6ac5828ef34873154e44fd05a2dbaadc4bbfbd\$lut for cells of type $lut. Using template $paramod$56e6d8a28a52006bb4e50e077743f0a2163235af\$lut for cells of type $lut. Using template $paramod$efc60783c939ae41b2f3555af407b17c007b27f8\$lut for cells of type $lut. Using template $paramod$2649632427059f17a6997e51dd7f224fd1802b32\$lut for cells of type $lut. Using template $paramod$bf3bbc54100a63f1252f3b9824fcdf9d0b05b126\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000010\LUT=4'1011 for cells of type $lut. Using template $paramod$4a8f522b12921aef964c57b0c1ea5818b021193e\$lut for cells of type $lut. Using template $paramod$f9813472aa48e533b3838c6f2316dc2e78c66111\$lut for cells of type $lut. Using template $paramod$d404fa9f8893ec32bf06295855ab0bfe70f27249\$lut for cells of type $lut. Using template $paramod$364c9ffbffac467d60dfec81bba4e18476c15602\$lut for cells of type $lut. Using template $paramod$43779580bfffd5d5a9f321249a174febf1dac288\$lut for cells of type $lut. Using template $paramod$2d07c1a6c53c7b878509360922c4fa5ebedc3011\$lut for cells of type $lut. Using template $paramod$fedcddf7a4357754b8c2c1b3c873f3560b924a39\$lut for cells of type $lut. Using template $paramod$65d5d5c1e01bf41ee659754efba932f3d99198e5\$lut for cells of type $lut. Using template $paramod$2382b0dd4cb27fd4312681c40a6dd179c2a7a26a\$lut for cells of type $lut. Using template $paramod$8829675bb8c52553aed9f101ec0d5ef0c865e5c7\$lut for cells of type $lut. Using template $paramod$12879138d1e376f344e47ea40be66b776233be75\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001110 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01011011 for cells of type $lut. Using template $paramod$7fceb56f00afe8c6c87883fd2086715a1178b06b\$lut for cells of type $lut. Using template $paramod$09075db816d8e029981aa3d70c1517e1a68edfd7\$lut for cells of type $lut. Using template $paramod$be3a4f2fa2ab05678fe32f5b7113371f09103f89\$lut for cells of type $lut. Using template $paramod$708f027ebcdf37a17fb53fc71ceeb24bc530fb2b\$lut for cells of type $lut. Using template $paramod$bb896c9520758d48845887b7d5fac44a20655d69\$lut for cells of type $lut. Using template $paramod$e6cfc2a250ad5b0b3da266fa46a057a6eca6e3d9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00001110 for cells of type $lut. Using template $paramod$b4410865e8124402796f9dfbf73ef8d279fdbd08\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00011101 for cells of type $lut. Using template $paramod$59f2a3e232df3029c8bc36978b9bbe72a71dfb5a\$lut for cells of type $lut. Using template $paramod$c1a19a87ccbbb03d43a72335db63f692ddf82cc1\$lut for cells of type $lut. Using template $paramod$6b7c9c56fc2a32a479d463d5f3b0d3f4673b67f1\$lut for cells of type $lut. Using template $paramod$18455d4fd1270af2266bf4bb1c44971b2eb6b37a\$lut for cells of type $lut. Using template $paramod$a1d323730045824cfc84bb9f4ee8031f1c4dcc9e\$lut for cells of type $lut. Using template $paramod$df23d368a6ae8908771963811f5ab56f622887ca\$lut for cells of type $lut. Using template $paramod$694c95659b447cef99dd4cdbd49b87dfd5f6c806\$lut for cells of type $lut. Using template $paramod$368ece0cbe0dd8813956f5c0ea41432c34a980c2\$lut for cells of type $lut. Using template $paramod$78f5590b0462181d8749c9e6ed8a771b22dfb9ed\$lut for cells of type $lut. Using template $paramod$94ac66a11090dca84889e55fcf03297912a5b7ec\$lut for cells of type $lut. Using template $paramod$70e649cff31ac39ffa7544751d17e54df9788341\$lut for cells of type $lut. Using template $paramod$7ffc04c088a4897014506d1e561a14b627924059\$lut for cells of type $lut. Using template $paramod$15deee21bfb7f6f9f3963bae01e1abc87728ceb1\$lut for cells of type $lut. Using template $paramod$068092ddede495d8462ffe530e6d91711913edbc\$lut for cells of type $lut. Using template $paramod$47d363ae7b1a0e81207e02fe31af85b6bf36a2ac\$lut for cells of type $lut. Using template $paramod$8cac5452d526045503c5864c3a1dac0121c7053e\$lut for cells of type $lut. Using template $paramod$855b2c1d6931bc7ac39a5e8ecd8eb6e90ffc6baf\$lut for cells of type $lut. Using template $paramod$3331a91b4e24483a258fc0d47474cffbd93ab577\$lut for cells of type $lut. Using template $paramod$4385b611926e5c0509dba4de58311d325da0ff0d\$lut for cells of type $lut. Using template $paramod$122a4c0b007fa7ed75dbda7c4d71a52e22ce1276\$lut for cells of type $lut. Using template $paramod$6be53ab59e0a69757fc32adb071ddcb64e8c87b6\$lut for cells of type $lut. Using template $paramod$7b733f5b3466ec911060896fccc5c2626cbe3d64\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00010101 for cells of type $lut. Using template $paramod$cc1fc3e5e128f353e86f87d2072b832ff933e9a6\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00111010 for cells of type $lut. Using template $paramod$a50be0e6fa3a01511bb234559cb74fb8bd3e2061\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10011111 for cells of type $lut. Using template $paramod$faeedcc045c5fd2fbd2cb0c24e50ae83fb289140\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01101111 for cells of type $lut. Using template $paramod$6efbfa72ec6f4430f746a9eeec729763cf9dc3a0\$lut for cells of type $lut. Using template $paramod$99d83c3a805aa9e9a8582ae82a1636e49ca1dc3c\$lut for cells of type $lut. Using template $paramod$9e1755e3954600257bb9b9ab192e2c0243b0f35a\$lut for cells of type $lut. Using template $paramod$47405b74523fa8228405d1598e57ea3a02c032ab\$lut for cells of type $lut. Using template $paramod$3052a3b94d35264fa5c8113fda0f5e5d03f8456e\$lut for cells of type $lut. Using template $paramod$00ffcc628ccb870304683cac36ad3a16cc41b6a4\$lut for cells of type $lut. Using template $paramod$a41f1fef22a0928d27fdf5da763576d46c440d8e\$lut for cells of type $lut. Using template $paramod$5e9374f44a27c3f8a1c38af244ec43ceb4fb8d4f\$lut for cells of type $lut. Using template $paramod$907f2d2c02ff149f00785eb99b3e2aa8a6a3fccd\$lut for cells of type $lut. Using template $paramod$df6b12cebabc3b2db650658c5e894d03a346e968\$lut for cells of type $lut. Using template $paramod$e234b33fd72932ba3f0d727e277c697708f63208\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101000 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100111 for cells of type $lut. Using template $paramod$23f08792516a452155036ce8ef5ab56864b08131\$lut for cells of type $lut. Using template $paramod$cbea2d4d520f64cae694e02ff7f67ddafd2047d5\$lut for cells of type $lut. Using template $paramod$ea164ac10c737fb9a993029ac71757f49d893b6c\$lut for cells of type $lut. Using template $paramod$b26fbfdb68e98cf016d61a8611b449e9f4a30f3c\$lut for cells of type $lut. Using template $paramod$6aa123f49eb0ae3739d6708812cedabc589076b1\$lut for cells of type $lut. Using template $paramod$afdefd64f115cbb578c1cd4bf8426ecfef85ae91\$lut for cells of type $lut. Using template $paramod$50a553b7d4648ce48eb124c2e38bb70d64a5b8e4\$lut for cells of type $lut. Using template $paramod$bacdb2105cbfbe75cfbcc2fb021fd3aba864526b\$lut for cells of type $lut. Using template $paramod$edc5a73130589b9210f4bdf92e14bdcacac8945d\$lut for cells of type $lut. Using template $paramod$86d1a43c2f1d620ff2cef866448dd1258c868fad\$lut for cells of type $lut. Using template $paramod$cad45b6c9da81941161a13849773fe2ed4bc1c6f\$lut for cells of type $lut. Using template $paramod$b287726797d0722f64e731f1134f7c05af8f1578\$lut for cells of type $lut. Using template $paramod$32ccf65669c41e1e3bce1f16051f6d60ad96a2a0\$lut for cells of type $lut. Using template $paramod$810e0f22d547104f8208898922f6ac2444aeece7\$lut for cells of type $lut. Using template $paramod$5edc8f79b95a9848875a8efe9525eaf75b98e456\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00110101 for cells of type $lut. Using template $paramod$6ffaa13445c33f3193b27ae6b9f5de8e6953d01b\$lut for cells of type $lut. Using template $paramod$3427de03640437352391c1e3a751e193e8ef5801\$lut for cells of type $lut. Using template $paramod$e484b1e0bd351ba5af34f5fd66a8e850cc5b8335\$lut for cells of type $lut. Using template $paramod$19f568890ed784cb1efc3ce1b67eed20a6c54d9a\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11010000 for cells of type $lut. Using template $paramod$9f668e00b3b44670df8720021f8c42e540f3c7df\$lut for cells of type $lut. Using template $paramod$c0bf188d59caee3962839b4a0073a8d2f9e9a3d5\$lut for cells of type $lut. Using template $paramod$8d08395e9a4e4cded27c9198dd6b7fb30a5dc6be\$lut for cells of type $lut. Using template $paramod$600a12a5825c233aa9b79c7688b53f96648d31cd\$lut for cells of type $lut. Using template $paramod$9e45b1a8f5d89c07bcbb75a2bb1c598231b04feb\$lut for cells of type $lut. Using template $paramod$0392318cefd9d15f572622bd5a88290120220d9c\$lut for cells of type $lut. Using template $paramod$b3f8492b654d6f4d7d1d31e0c18d0c5631447158\$lut for cells of type $lut. Using template $paramod$5dbb41bea11c50fa64284ff8cedefa5093f18ca9\$lut for cells of type $lut. Using template $paramod$29fb0dcbea7e19908c494ce4a8a756b15e2a78b6\$lut for cells of type $lut. Using template $paramod$f9df0bb8fc3cbb332d575e165ec04d3cfd4c90ca\$lut for cells of type $lut. Using template $paramod$6d937d8a77a6356f2f9cc89d5646fb948bb8225e\$lut for cells of type $lut. Using template $paramod$a743caf801766df40bcc22d49baa12a0bdc2f7fe\$lut for cells of type $lut. Using template $paramod$8e44661def013b6bf9fe6f8b049ef2c838d749f9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01100000 for cells of type $lut. Using template $paramod$6d473153bc0717e92e23b21a92c71fa7e337bf4b\$lut for cells of type $lut. Using template $paramod$766f851776a2d25e13728c9147ddfe7ff70917a3\$lut for cells of type $lut. Using template $paramod$1b6589a5b00bbad8e5635e71249e07e10bfc1308\$lut for cells of type $lut. Using template $paramod$32abbd1d449a67fb913b4733374e345d4c17175b\$lut for cells of type $lut. Using template $paramod$5c32c59025c0b98f20e63f249d83e7ebb4b085e3\$lut for cells of type $lut. Using template $paramod$4e79aa6839e287ee36e65fa83c13a532a028e9cd\$lut for cells of type $lut. Using template $paramod$a50bbaf70b48eb6d78317eddf4f7e11e8988acec\$lut for cells of type $lut. Using template $paramod$11035e62d8131a0c85d6f51559cec0b7857c6dd9\$lut for cells of type $lut. Using template $paramod$9cf83e29ae1c8f8c5630302af14d44e670567a7f\$lut for cells of type $lut. Using template $paramod$8b24407096beec47292ddeb1567a058197a320b9\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01011100 for cells of type $lut. Using template $paramod$1bb2fc47b457abe7e28b98cfa3441b6432237f90\$lut for cells of type $lut. Using template $paramod$1053605c4e9f6de7d57edccfe8e31810003fbcc3\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00100011 for cells of type $lut. Using template $paramod$ba549d974d3a8f8598346b69873227a08dedb79f\$lut for cells of type $lut. Using template $paramod$fb3b7f8c8e9b7feceababc42518610182db591c6\$lut for cells of type $lut. Using template $paramod$8fd8efe0a495790cc9ddc97266933ea8a8cd7b45\$lut for cells of type $lut. Using template $paramod$cf412cfde1eb30cbbb8dc2b10f5a3ca6b5b9c18e\$lut for cells of type $lut. Using template $paramod$cae45ff85b946d8cfe295bf4feda7db55ee71cea\$lut for cells of type $lut. Using template $paramod$212d988cdf783f162e976160d50b5081a5043788\$lut for cells of type $lut. Using template $paramod$eb041a68f1d2d31924aba346ce60de5407f90902\$lut for cells of type $lut. Using template $paramod$59efce3ed50ea8324a4ebe6c9d00f27a2e258108\$lut for cells of type $lut. Using template $paramod$2f950ea8fcc9d6d6b256944ad9c88d1151a01710\$lut for cells of type $lut. Using template $paramod$2be036421bbe56607fdacd02104a69fb59653e2d\$lut for cells of type $lut. Using template $paramod$5c640569df72eebd46069d150e3b8a1e9a4ebbf5\$lut for cells of type $lut. Using template $paramod$0bb65934ed207bf82efad228df230e9d98f635b6\$lut for cells of type $lut. Using template $paramod$6b097bcd63a786c501522195c06d415003829c47\$lut for cells of type $lut. Using template $paramod$c05546339058da47abc2fee6200b5ae355583920\$lut for cells of type $lut. Using template $paramod$de95729ba0dacb4f3cc08b0be628d197207d8a13\$lut for cells of type $lut. Using template $paramod$0e4b821ab4dbca6b3c65ac4558d2851a5ab2d14d\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11000100 for cells of type $lut. Using template $paramod$7c8141ff17d3297655ae3d2db07620bc0017a1aa\$lut for cells of type $lut. Using template $paramod$fe63dc5354873422d24ad4db8f33c2578b108e8d\$lut for cells of type $lut. Using template $paramod$5b4b446d1154667c06cf8a1823eca330b97001bb\$lut for cells of type $lut. Using template $paramod$4372cda99178a15388eeccd939e55e04b633d3b4\$lut for cells of type $lut. Using template $paramod$cb32832b462590ba6b4bda2fe4ab0e2da1abc198\$lut for cells of type $lut. Using template $paramod$eb12fb642b0a8c36071cc8f494eef982e2befb4f\$lut for cells of type $lut. Using template $paramod$608f40069c27841a5b3bdf03643a34bdc8974072\$lut for cells of type $lut. Using template $paramod$a467e3aee4b54a60cdec89714694957109e0405d\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01010001 for cells of type $lut. Using template $paramod$fc318a7df7fe07fd6e06d67fcbc358e9823ea389\$lut for cells of type $lut. Using template $paramod$baa939b0bd5b3e0c8760492528669bd58f640542\$lut for cells of type $lut. Using template $paramod$b4f85a6321a00b090afc4e21d68e7b99eb94d149\$lut for cells of type $lut. Using template $paramod$992bdc10cff2c6edd722994f0e1044bc863f79f7\$lut for cells of type $lut. Using template $paramod$eced46750b43aa9efabd63e9db30cd976a863157\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01000101 for cells of type $lut. Using template $paramod$89f931611b66d827751f4a175a88569d5ab95376\$lut for cells of type $lut. Using template $paramod$2ae22ed255cc0f3746c71b5da2407ee38a2a66e6\$lut for cells of type $lut. Using template $paramod$09d7ff7c3d1d9901344b01d38c40f254e5edc311\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101101 for cells of type $lut. Using template $paramod$7d813eb49700f971f2635a434700eafdfa816bc3\$lut for cells of type $lut. Using template $paramod$f5c118b1371bfc24986fe89a9f3e936c05edfbc3\$lut for cells of type $lut. Using template $paramod$6e64c13666511ae2ccc90ab6ddaf8be09bda5af2\$lut for cells of type $lut. Using template $paramod$d7f81ca5b9e899b12020c9fa09c78a6bd12a0a25\$lut for cells of type $lut. Using template $paramod$338ce46cf7ff44b9974887dd2adee6c4e0530bed\$lut for cells of type $lut. Using template $paramod$2e9afba29670cc6475874639e7c1b3979c8ebde3\$lut for cells of type $lut. Using template $paramod$3db826965e677cc72a454d5e910d68e35d246aa3\$lut for cells of type $lut. Using template $paramod$83c1b6108170249166239e09804c5f4542556524\$lut for cells of type $lut. Using template $paramod$f85f1073c412d406200a6a72283f918c8b751314\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01111100 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01110100 for cells of type $lut. Using template $paramod$ba07846b1e706d3eb2010a528b0f0417e3645924\$lut for cells of type $lut. Using template $paramod$3a0a392069bc969f34c65c546a8c56fbbb67e282\$lut for cells of type $lut. Using template $paramod$38f9bf4dd2329347b8471f0a98443dd323386889\$lut for cells of type $lut. Using template $paramod$c75656bc8af73b1fd37fc2f9580b970bd545f476\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10001101 for cells of type $lut. Using template $paramod$ae7630a993b52602d9fcfd7a4a9274fbac2f099c\$lut for cells of type $lut. Using template $paramod$e4ce17fcded6e264148ce0a4c0df3ed1b1b269a4\$lut for cells of type $lut. Using template $paramod$41326ad8644342a66dfb051d050f2b6fbf15015b\$lut for cells of type $lut. Using template $paramod$c2842ed6ac328d347b6d1c2cecf2b583dc6a8707\$lut for cells of type $lut. Using template $paramod$16773ebb5e5d8dbce266b8a86bb4af4574d61ffd\$lut for cells of type $lut. Using template $paramod$d21d214a5aa271f2d9da3f90f22432c0ecee130f\$lut for cells of type $lut. Using template $paramod$77c2a0573790d304ab2299da6d598e8d2e184867\$lut for cells of type $lut. Using template $paramod$3d061285904c77e6f0e60fc0ec21e11c69d53bcd\$lut for cells of type $lut. Using template $paramod$1c8aea8d15a8caa53bcd106d813c48ea86657836\$lut for cells of type $lut. Using template $paramod$ed386e65a353bf1deeca36bc2cfaf59537238575\$lut for cells of type $lut. Using template $paramod$6a0bf72c1f04717323c96453558aebc0fd3dc134\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10010011 for cells of type $lut. Using template $paramod$4ebbf381cf64b08e3304ae8194da41d0cd1eaa92\$lut for cells of type $lut. Using template $paramod$8fb7c2a4174bbb0f9870bcb831d0cc7f5057f41f\$lut for cells of type $lut. Using template $paramod$02904265621ae79a27c13b089e66a2817bd924bf\$lut for cells of type $lut. Using template $paramod$d9922e15eb5da1acc26e937540cc16b16c2ad42c\$lut for cells of type $lut. Using template $paramod$a5dd9ee10fc2202a29791f7d68d4afcce241aee5\$lut for cells of type $lut. Using template $paramod$e8b1383c6901b56df73ac402d78a5e0a42461be0\$lut for cells of type $lut. Using template $paramod$3258fabf91107b4a007ae89b2246a16c31e8ae28\$lut for cells of type $lut. Using template $paramod$6daa1f38743ddf86b54e83cbcf8003e7e7d78aa1\$lut for cells of type $lut. Using template $paramod$ff58554493773336c4e06dc62f25c37448f98c7b\$lut for cells of type $lut. Using template $paramod$25f748a39f13f638a0dd44b265e145242e43bbc5\$lut for cells of type $lut. Using template $paramod$b772929e028aced8081b5fc62b4a4f9cace04851\$lut for cells of type $lut. Using template $paramod$7667ee60653b518109ce38341e4a8566a12b1d87\$lut for cells of type $lut. Using template $paramod$1076d5b96410dc32bbe68df15017559464728316\$lut for cells of type $lut. Using template $paramod$39b0d201a18bed5573a88835da3f39d40814d360\$lut for cells of type $lut. Using template $paramod$9c10e17a0a1ecd0e2664d222f0eb0cb2cf52c224\$lut for cells of type $lut. Using template $paramod$f821be747782e31d503fe9b09e9af982befba4b8\$lut for cells of type $lut. Using template $paramod$ee3aee51512c440156b3fdfdf887fb8c17d950f3\$lut for cells of type $lut. Using template $paramod$994d90e9e38bc4b3e41611c486bc42235dc97a88\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001000 for cells of type $lut. Using template $paramod$7ebd053006fefd5a4368bea803813a6c7860a94a\$lut for cells of type $lut. Using template $paramod$e49f6e3576ef1a6d2f58c54414dbb786af8cc869\$lut for cells of type $lut. Using template $paramod$14140c27362ad6ff722e99dadfff3c6d6f6c4a10\$lut for cells of type $lut. Using template $paramod$11d98a734fddb972e4bcf061987d6c0007a7bbfa\$lut for cells of type $lut. Using template $paramod$3ca707268823c151b76d100c313e1230bb5e507b\$lut for cells of type $lut. Using template $paramod$6b0849254d6c87461fb93e37cc18f089f61eb912\$lut for cells of type $lut. Using template $paramod$75d5c453cca75cc7a7ca320c4fb7be0932b6aaa7\$lut for cells of type $lut. Using template $paramod$d3c1d5169264e76c07dfdd8a6e4bfb53d678aff9\$lut for cells of type $lut. Using template $paramod$a670b08a47dd8a34f954c50cd06e9996d77e8467\$lut for cells of type $lut. Using template $paramod$648d5b3c4c08a2b5e6752f60f9134dd7da5b02b9\$lut for cells of type $lut. Using template $paramod$1b53a9695a0f80de7517b50863b438fd2b7f56da\$lut for cells of type $lut. Using template $paramod$91d6743ceb0f093b57d242b538f7f23d2346d4c9\$lut for cells of type $lut. Using template $paramod$d497222f59d990d3f689ed9c6ac453ecc8a2f4b4\$lut for cells of type $lut. Using template $paramod$a85b5c8f78773edfbb653c7526665d3b72c53728\$lut for cells of type $lut. Using template $paramod$7176ce7590054e7413fe70bbf13c639b8e5fac66\$lut for cells of type $lut. Using template $paramod$6375ab94b303a3f3c8d7ca6946328cb3c0b443a7\$lut for cells of type $lut. Using template $paramod$7c085cdbf0919cd3ad402d9495d97f0d71e4db93\$lut for cells of type $lut. Using template $paramod$1780bd352ec1af971e2f8a4e64b861091a94595b\$lut for cells of type $lut. Using template $paramod$93f74d366819d1f86e5e8b91d159aa6b1cd7e9fb\$lut for cells of type $lut. Using template $paramod$ee454ad2383885733a4273245816698f8443c10b\$lut for cells of type $lut. Using template $paramod$82a00bf0f959a345aaec45c197de61b70ee9c703\$lut for cells of type $lut. Using template $paramod$3c1524c7e619a681294098510b3de5deb8faa035\$lut for cells of type $lut. Using template $paramod$f2ff586bb9d24c71a4377a11283dc391afaf4225\$lut for cells of type $lut. Using template $paramod$b9305c669fd883d24574655b402c7ff9f28efb1a\$lut for cells of type $lut. Using template $paramod$202ef33ed7c16f8af46b2c0a3a76b0741a206df4\$lut for cells of type $lut. Using template $paramod$e239c80ed79b5a8451681fe5c06c49632ba73ede\$lut for cells of type $lut. Using template $paramod$d96af730adf02974ec3298258a511f8b9b1fc45c\$lut for cells of type $lut. Using template $paramod$a48d96b3084901aac1f541d9be59ab6aad37df36\$lut for cells of type $lut. Using template $paramod$9c65fdfac74256c2eb67dd209b598e25d1f0a099\$lut for cells of type $lut. Using template $paramod$e8657b745f626ab4b4df2d7947d2a480f8972d87\$lut for cells of type $lut. Using template $paramod$fbe0ccb8b10945c0b3ed50812ad98df5e351a38d\$lut for cells of type $lut. Using template $paramod$11ec7271d8e6e5aeaace08c13e4c601f10e31038\$lut for cells of type $lut. Using template $paramod$74126468aaace72876184a46cb47e46a6d4ae807\$lut for cells of type $lut. Using template $paramod$60e310a8522aeca74be2375296c543c2686fee16\$lut for cells of type $lut. Using template $paramod$a511f425a16be7369933baa8c17a62ec61a7d7bf\$lut for cells of type $lut. Using template $paramod$c11ee6bbb8d9fd9cf391261624c378fb45e86b84\$lut for cells of type $lut. Using template $paramod$413d040dcd860cd74ca61a70644516a95d328ae7\$lut for cells of type $lut. Using template $paramod$f5651ff2abca4d07e0dfb50ad5504abd96162cd4\$lut for cells of type $lut. Using template $paramod$f6205ea4d16154fcc0de4d21dff0bd55a57f1ba0\$lut for cells of type $lut. Using template $paramod$c07d61aaf1d93e15249de987e8fd0ca391dbd52a\$lut for cells of type $lut. Using template $paramod$57cf7fbf84518d9e7604ec42b59ba9511e1f3caf\$lut for cells of type $lut. Using template $paramod$559093dfe5eebcaa0a5cc38a19142c025c6333c4\$lut for cells of type $lut. Using template $paramod$d79e8c7f0cb3bd049a34d82ec5fe688d444e5a52\$lut for cells of type $lut. Using template $paramod$e19d575dcaa1ce7c044f8e3f02f038d0a3e199d6\$lut for cells of type $lut. Using template $paramod$acd2aac62f6cac8eccb6441cdd9fcd1a9733ebff\$lut for cells of type $lut. Using template $paramod$3357e04690749b6c89de0fcd28f53cd216bd2047\$lut for cells of type $lut. Using template $paramod$6665b39ceac26e0ab2d4c34094b2005de33923b9\$lut for cells of type $lut. Using template $paramod$ad2f7ea4e482304c44e7a6f6dae5db1a4029e245\$lut for cells of type $lut. Using template $paramod$2844c7fef2a755a9af80c70990cd830291c4b71c\$lut for cells of type $lut. Using template $paramod$20235ca863361fbc253329cfc7eeea38c77404dc\$lut for cells of type $lut. Using template $paramod$0278a98173912fa2967bc63171a4195b9cf931a0\$lut for cells of type $lut. Using template $paramod$1f093f42b22f1cbed483e6fb46857abb85b9c690\$lut for cells of type $lut. Using template $paramod$e953ca6166af75b2444378071091ce589a6edd9e\$lut for cells of type $lut. Using template $paramod$07b16c7ffad20c1295ff0bd3c4723053a9c16a55\$lut for cells of type $lut. Using template $paramod$b2e8d279775d333b39e310bd45fd5952acdde290\$lut for cells of type $lut. Using template $paramod$3548f6e93628807ae6cc989ec88fe8ae640cb47a\$lut for cells of type $lut. Using template $paramod$6b666729e8acbed684231f468059c6ab64de1fba\$lut for cells of type $lut. Using template $paramod$35760ff0c8ed9a450cbe7e8af6b995d41442b55c\$lut for cells of type $lut. Using template $paramod$dbdbcb07b9994e498bb1324e5c006c6aa08a7a37\$lut for cells of type $lut. Using template $paramod$7d35f3eb4056e6484203c99fe42cfcf1dfaba704\$lut for cells of type $lut. Using template $paramod$95437c548840ae5673e70f982edd362a76476eb8\$lut for cells of type $lut. Using template $paramod$461cadc1bd5a9a618782c453f75bb6c15ef2c050\$lut for cells of type $lut. Using template $paramod$5b72438ff391ef6fcf2c5d407744382a084031d9\$lut for cells of type $lut. Using template $paramod$a2f201d984e8bd96c8e0db17337de5e27f0661cc\$lut for cells of type $lut. Using template $paramod$bb30b1a7babe3b9233abcb75d43d2653a9294bb7\$lut for cells of type $lut. Using template $paramod$902ed43dcaa6101b2d051ad26600288d910a8996\$lut for cells of type $lut. Using template $paramod$3300819ef73ff1e077f43511eccc38684b0a96df\$lut for cells of type $lut. Using template $paramod$6423d726e9a2aeb860255b5262559102a32c1449\$lut for cells of type $lut. Using template $paramod$e0286d7bdebdb6346cb367bb1962e01892ba2e32\$lut for cells of type $lut. Using template $paramod$6f20c26c0721e8b3757ca7b9a77b6e1d35f0f91c\$lut for cells of type $lut. Using template $paramod$395230f678f03ce284ca8c1a78cfd8e055d06122\$lut for cells of type $lut. Using template $paramod$47fa2f84639cacb9dd6b3d20220476702f4e2512\$lut for cells of type $lut. Using template $paramod$d7696723b3553d9f6882c9f1224d4c27e6a45d45\$lut for cells of type $lut. Using template $paramod$756861dd4dfe0a5b9de37af2241117b1958e2ffe\$lut for cells of type $lut. Using template $paramod$45545dadf0c349cfeed620b03ec2520181019d0d\$lut for cells of type $lut. Using template $paramod$74190755306950a81a07803293f7549508f6f157\$lut for cells of type $lut. Using template $paramod$e57bcb018bfe8170bc04f13a73befe2def28cdf3\$lut for cells of type $lut. Using template $paramod$69457d82606774fd64394a03bf5e79b01063b3c6\$lut for cells of type $lut. Using template $paramod$be3c136b9ea259e990a873b609bd04125f1cef51\$lut for cells of type $lut. Using template $paramod$555b6355e2cc751ac120ccebbd6efcfbc305670e\$lut for cells of type $lut. Using template $paramod$25003f26a78bb2f583f23824f1e0b8cc16b88761\$lut for cells of type $lut. Using template $paramod$29e6d4598488760861f6b73d2b7f65cb302fdcde\$lut for cells of type $lut. Using template $paramod$7c3833e617307006af30409ed68b65a011a1121e\$lut for cells of type $lut. Using template $paramod$3018a991aca208e67e7578b8edd8f0a01bf71fa4\$lut for cells of type $lut. Using template $paramod$757e6b310d808bed74ec999ffae9380d94555ab9\$lut for cells of type $lut. Using template $paramod$077caa0fe67e147edfc4c4dc820ac55adfc6d436\$lut for cells of type $lut. Using template $paramod$7e8d331d1e06632d29fbdf6c3afc2de1856d3c67\$lut for cells of type $lut. Using template $paramod$09deb89cf77b6e37f6ed7fef8d797dc05c0b2eee\$lut for cells of type $lut. Using template $paramod$168aeef333136ff4f1f2ce3a62c8b6d1ffc7dc28\$lut for cells of type $lut. Using template $paramod$5b522c549bf568db4969be109aabdbdd1b5ea20b\$lut for cells of type $lut. Using template $paramod$e942ff15257dd44eb70ae034d1b665e0116c7a3e\$lut for cells of type $lut. Using template $paramod$ddc6f4fe74ed36832c5cee6594285c38523f3d9c\$lut for cells of type $lut. Using template $paramod$ea787186bd969232bfb04b49f810973d6910dee1\$lut for cells of type $lut. Using template $paramod$72ec34f0d3574fd4a61ad277dc680da7ce75f22e\$lut for cells of type $lut. Using template $paramod$71780946553cf4f012cf430f27c1f53f2aea690e\$lut for cells of type $lut. Using template $paramod$85b0fd4e971ac4229ca76e5ff68497832e70a936\$lut for cells of type $lut. Using template $paramod$76bda82466d3389b35a6c0d236517b2521618924\$lut for cells of type $lut. Using template $paramod$e5759512db67494ff77fbdfc66dff4006376568f\$lut for cells of type $lut. Using template $paramod$1632c1c0242796acfc963a05742c4acd2f475c4e\$lut for cells of type $lut. Using template $paramod$66658cbed86a8310f9b7ba1190d35eff90ee749b\$lut for cells of type $lut. Using template $paramod$5321e04f7ce32c091123c3570ab562efb1c81402\$lut for cells of type $lut. Using template $paramod$dc80e74b9623b8a802ef4b5162559616e5ac1cef\$lut for cells of type $lut. Using template $paramod$42c6c945ba093458fbad3354d0c23658ec39293e\$lut for cells of type $lut. Using template $paramod$e7fa813675354f20c694ab2d4d9ecca5b21f170c\$lut for cells of type $lut. Using template $paramod$caeadb83377a74bfb67e754ae418d6bd7b2632a8\$lut for cells of type $lut. Using template $paramod$e62ceff1885819764d2dece28511bcaa17bad9ba\$lut for cells of type $lut. Using template $paramod$cad8f5c808e91f937dcf797b89e646787b39867a\$lut for cells of type $lut. Using template $paramod$04b674496422df8889c01c3744b94097628ccfbc\$lut for cells of type $lut. Using template $paramod$09aa8a3143d50cc59564172c2564d6271fb3a300\$lut for cells of type $lut. Using template $paramod$7c1f6afe503c0a9d86df3082e3bb8088dcf2d22b\$lut for cells of type $lut. Using template $paramod$b86b68a00733dbecb31d58a14a13683475a2002a\$lut for cells of type $lut. Using template $paramod$9e354de8d358bf081aa0c089488ea3bc5b7c2fd9\$lut for cells of type $lut. Using template $paramod$db6b7476922213a65b6e3c3c166d39eadf7a7fdc\$lut for cells of type $lut. Using template $paramod$503f074a1e0ee514db5ecc619fe59b8aea7c51b0\$lut for cells of type $lut. Using template $paramod$82abb8f9ddaac453e6ee24bf456879be259b8e87\$lut for cells of type $lut. Using template $paramod$b009a26b33c3ca109c016cf968a774c0d66687bb\$lut for cells of type $lut. Using template $paramod$3ed7a1f8582c474f8b9e9f60bcced772e6f8b4f5\$lut for cells of type $lut. Using template $paramod$f6dc5effae5c2b2fa74fb9734eb02ac8c26dc87d\$lut for cells of type $lut. Using template $paramod$cce04387ff6e4ae91cb3f7ce5ec720fb6382b209\$lut for cells of type $lut. Using template $paramod$718179c4766b4ea66e8ece9b944be5b997b67ba5\$lut for cells of type $lut. Using template $paramod$f8e1bdecd38a1f2a88371fc52b96bff86506a2c7\$lut for cells of type $lut. Using template $paramod$a4640096cbef09c4ef8613155a589c40164ac034\$lut for cells of type $lut. Using template $paramod$55d1b8fb471929c38c5d715468fcff39efbd256c\$lut for cells of type $lut. Using template $paramod$a9a0d3da8e2570975000fd954dff796c3807df01\$lut for cells of type $lut. Using template $paramod$dde5a9ef7dd688dfe6598c87be62bbece830ebb4\$lut for cells of type $lut. Using template $paramod$ca009e911508f27903ef81bdd82bfd594b87e190\$lut for cells of type $lut. Using template $paramod$e3c2bdf4412b9088319de5fab9e49b0ec8588cbf\$lut for cells of type $lut. Using template $paramod$06e1b49bb860dd46d7e5fdcbc52d5c30182f8b56\$lut for cells of type $lut. Using template $paramod$13162dab747ee1e52bfdba3be872c6d5a3be6de5\$lut for cells of type $lut. Using template $paramod$0bfc535937424f0464495d54aead951ee8afbe60\$lut for cells of type $lut. Using template $paramod$26670e8b83c71ee9c0ff9ca0059dae6147c8faae\$lut for cells of type $lut. Using template $paramod$76ebe1cd006d3c10b3bc01174f6f7a392df3a8e0\$lut for cells of type $lut. Using template $paramod$2a4b250d89be3556c74aa0e719a4f6242369d42f\$lut for cells of type $lut. Using template $paramod$83f8a77a82b30303d0d950f0eb545c79a45eece4\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11000101 for cells of type $lut. Using template $paramod$174d12c05fd234fd26fb23186dbdb94358c8b01c\$lut for cells of type $lut. Using template $paramod$33b72718c79847c9c03c134c7bec663dbf06983b\$lut for cells of type $lut. Using template $paramod$37c9af120c85145419565a9ccf4ceb7397fbbe92\$lut for cells of type $lut. Using template $paramod$8b81775fb73b10ccf3a57c39fc26126ef8a47ddb\$lut for cells of type $lut. Using template $paramod$7b809938766c3068dc017c276033f224fcfb7189\$lut for cells of type $lut. Using template $paramod$faf4b69e2195a9ce52b7c3bce83fa5ea343bc378\$lut for cells of type $lut. Using template $paramod$1bf62ab10e48d71d6497bccacf5c70420c470fe9\$lut for cells of type $lut. Using template $paramod$7289316a4306bdfb85f512ed8eda484940498513\$lut for cells of type $lut. Using template $paramod$f7d19eed376e4528ed022dbbdbae3788974374fa\$lut for cells of type $lut. Using template $paramod$1194b3a751797ffd33921d63424430e7f1ad2a46\$lut for cells of type $lut. Using template $paramod$de273d25f15c0e35d4108e94f7e3bd92c2e83118\$lut for cells of type $lut. Using template $paramod$eaacf9809ed87d6f801a5466f6f5f3d660730e88\$lut for cells of type $lut. Using template $paramod$be3467da0aa76c53b62720d6629fc1936fb1c80b\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11100110 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101110 for cells of type $lut. Using template $paramod$b89c522b7f70adaee1a35d80e932f38159b6a445\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01001111 for cells of type $lut. Using template $paramod$b053538fb4536514881298fa3d5e86574e44ce4e\$lut for cells of type $lut. Using template $paramod$176a6ceafa512d807921d7dfc76320dfbbfb5fe4\$lut for cells of type $lut. Using template $paramod$73d07df06bdcebf8bcc513075902f140fba061ec\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00101111 for cells of type $lut. Using template $paramod$7a02e05acb86bef14143e0e435fb2c7bb50c485b\$lut for cells of type $lut. Using template $paramod$a988852add2bdce7c1dfac786401ba7c7bc832c1\$lut for cells of type $lut. Using template $paramod$d25a0f1ed4a99ef8d1bf6a91b3015ece3e01714b\$lut for cells of type $lut. Using template $paramod$115b33a94acd0d35393b7ffd17f6f80c031f9c76\$lut for cells of type $lut. Using template $paramod$0d3ac82cf5b8a192d5ff4c23e3143360366ae882\$lut for cells of type $lut. Using template $paramod$382d345e672da8cc8340533492f7be26810533bd\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101010 for cells of type $lut. Using template $paramod$4d5fa0c21aaa9745a301eda7465c650b5896bed0\$lut for cells of type $lut. Using template $paramod$60096d1cdb5f7f55fdf4ed3aab322b5c7375f61e\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111010 for cells of type $lut. Using template $paramod$d9e869de4ea8677851dc452d380224cee441f821\$lut for cells of type $lut. Using template $paramod$2bf796e0fd6e6f7f76aac424a34e617ed5d61822\$lut for cells of type $lut. Using template $paramod$9c2d333541bc836d4d6b78e102bdc07b3b85da08\$lut for cells of type $lut. Using template $paramod$5dc745bb48e2cf535179547ba13f0fe5364d6d54\$lut for cells of type $lut. Using template $paramod$e4723c78131b859cdb296cc7099a965ce6bf28d9\$lut for cells of type $lut. Using template $paramod$03a9885a61e46980831d2c64059eb66aa27bbfee\$lut for cells of type $lut. Using template $paramod$f408f9db825ea6538f65d9bf44e983fa400663c9\$lut for cells of type $lut. Using template $paramod$d0a46f8637b2b026d480bf9e8554ef5d086fd1d1\$lut for cells of type $lut. Using template $paramod$fbeae4d13c6561566bb87c819d405873ec370e64\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110010 for cells of type $lut. Using template $paramod$17c27ffdda03355f95b2ba5edc73ca082237c935\$lut for cells of type $lut. Using template $paramod$4d6e761a7a62dc9cc597aef6e1d49cac6bdc495b\$lut for cells of type $lut. Using template $paramod$8cbea7472fe8ec8b0d9b301f17edad7f1c398048\$lut for cells of type $lut. Using template $paramod$d50aaf7bc91b84437dde85e30486261cdbeeccac\$lut for cells of type $lut. Using template $paramod$27331a24b2c1dcbe1b3eefac456a3f892f3b23d2\$lut for cells of type $lut. Using template $paramod$22ca34e45145bb3eb2ce78f5debe5bf61645321e\$lut for cells of type $lut. Using template $paramod$b637cf4714c2e93484bb499728e176a6ab69c910\$lut for cells of type $lut. Using template $paramod$3d15019bc3c3947166ac5e931ccd6987f738b3ad\$lut for cells of type $lut. Using template $paramod$f9b715fbf1040e81e900b2461c2390d17ed5e988\$lut for cells of type $lut. Using template $paramod$84f4f1db72921f11c5ff5a4dc511dfd4d3da404b\$lut for cells of type $lut. Using template $paramod$5b4b4ed558983d9f3ab4c896a7a011d129b0db9a\$lut for cells of type $lut. Using template $paramod$2991ce5fd02924db4f85641df086c8f9e75470e5\$lut for cells of type $lut. Using template $paramod$26582a7543f385ddf0816d466b3062a20d272771\$lut for cells of type $lut. Using template $paramod$feb45b3c7a5a8333a286f7ebbbcb2b5914efa818\$lut for cells of type $lut. Using template $paramod$619b7c9a4d44585e10b42f7fb79b16304cca97c5\$lut for cells of type $lut. Using template $paramod$98162d862d449f7c67182f5a43cdfe4123defff8\$lut for cells of type $lut. Using template $paramod$44236511622e197854fa4814b088d63bb24df320\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11111110 for cells of type $lut. Using template $paramod$375e991efac86e1025d045b2d42749df20a21fa7\$lut for cells of type $lut. Using template $paramod$4bd488bb9e7b92f6843c86d97a879ddbc9bac2f8\$lut for cells of type $lut. Using template $paramod$aa79b66d99645f2c6597509fe375a3cb97da6e36\$lut for cells of type $lut. Using template $paramod$53ce561f80f32d4298a3beadc88b6c5c78293221\$lut for cells of type $lut. Using template $paramod$e943e6c65e058aacdfb1d38c2b66000eb878cdf7\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11110100 for cells of type $lut. Using template $paramod$027b71830bd0fbfb04ad11206c5a0de76ed9d3f5\$lut for cells of type $lut. Using template $paramod$bfeabb867bd04e7effc04f34074b9c8e66901d92\$lut for cells of type $lut. Using template $paramod$8a98d1c91d920b5f0ab2d9972c6d6a50d9b3c6a0\$lut for cells of type $lut. Using template $paramod$ba38eeec612c623fb7e710aa4d96a3562d261f4e\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01101010 for cells of type $lut. Using template $paramod$01d6171b877f7655dc0d32e32900a6a207a75b44\$lut for cells of type $lut. Using template $paramod$46e0c58da989560e0dc35528a00016369495a2aa\$lut for cells of type $lut. Using template $paramod$7fcc2f13195f27c397064377984d87a90c06749d\$lut for cells of type $lut. Using template $paramod$c471af5667a682bd131a5b479e58e470d1b2b7cd\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10111111 for cells of type $lut. Using template $paramod$6e4a86e6f1a5dc8f826898a131e83cdba4a4fc9e\$lut for cells of type $lut. Using template $paramod$00dfa99b7aecc2e72490719b192118cbd6549a2c\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'01111111 for cells of type $lut. Using template $paramod$4972722c284f07fee673f7cb99e6a36ce4a244f0\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101111 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'00111011 for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11011111 for cells of type $lut. Using template $paramod$a88d6a8ce8fd5d33cd3a669ac5bea735659071b9\$lut for cells of type $lut. Using template $paramod$6ce874e36713a701cf504f7218cdd9550f1afcd6\$lut for cells of type $lut. Using template $paramod$40935cbbff7193309e46390a22de6aecab3f8ae2\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'11101100 for cells of type $lut. Using template $paramod$b9fff2a7215703208a7cccbbf40d15f068986d30\$lut for cells of type $lut. Using template $paramod\$lut\WIDTH=32'00000000000000000000000000000011\LUT=8'10101011 for cells of type $lut. No more expansions possible. 34.44. Executing OPT_LUT_INS pass (discard unused LUT inputs). Optimizing LUTs in processorci_top. Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386050.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385905.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385663.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385846.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385806.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385808.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385825.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385966.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385995.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385979.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386012.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386013.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385816.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385617.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$45490.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44734.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44537.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42842.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42419.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41150.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$39620.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38318.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[11].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$30622.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29913.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28949.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28866.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27889.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$26149.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$26035.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24508.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$23242.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7148.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7148.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7148.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7148.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7148.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22774.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$auto$memory_share.cc:440:consolidate_wr_using_sat$7096.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22736.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22690.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22653.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22645.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22645.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22635.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22635.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22635.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22635.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22635.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22635.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22574.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22568.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22568.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22530.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22524.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22524.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22486.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21426.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$18451.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$18447.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$18447.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18447.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18447.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$18438.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18438.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$18438.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$18433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$18433.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$18427.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$18427.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18427.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$18427.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$14304.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$21073.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17421.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17421.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17421.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17421.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17421.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17143.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17108.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386174.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386174.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386174.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386174.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386174.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386174.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16709.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16709.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16709.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16709.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17051.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16852.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16852.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16852.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16661.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16661.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16661.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16661.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16661.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16661.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386270.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386270.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386270.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386270.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386270.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16586.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16586.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16586.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16586.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386254.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386254.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386254.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386254.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386254.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386254.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16468.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16396.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16396.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16396.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16396.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16396.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16396.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16390.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16390.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16390.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16390.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16390.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16390.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16384.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16384.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16384.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16373.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16240.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16235.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16235.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16218.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16218.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16218.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16218.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16218.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16218.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16183.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16183.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16183.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16183.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16183.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16183.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16176.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16112.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16112.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16112.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16112.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16112.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16016.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16001.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16001.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15976.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15976.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15976.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15955.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15955.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15926.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15926.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15897.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15897.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15843.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15843.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15843.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15843.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15843.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15843.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15808.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15808.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15796.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15796.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15758.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15758.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15758.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15758.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15751.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15751.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15751.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15751.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15751.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386255.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15633.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15633.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15633.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15633.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20351.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20351.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20351.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20351.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20351.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20272.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20272.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20272.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20272.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20272.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20272.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17276.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20264.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20264.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20259.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20259.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20259.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$20259.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20259.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17245.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17245.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16802.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16802.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17359.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17359.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17354.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17354.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17348.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17348.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17348.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17348.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17348.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17348.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17342.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17334.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17327.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17691.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17691.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17691.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17691.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17691.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17685.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16153.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16146.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17632.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17632.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17632.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17632.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17632.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17618.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17618.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15612.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386258.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386258.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386258.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386258.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386258.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15166.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15166.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15836.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15097.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15097.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17562.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17530.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17530.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17530.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17530.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17530.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17530.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17516.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17516.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17516.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17516.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17516.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17501.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386231.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17468.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16341.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16341.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16341.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17482.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17482.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17482.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17178.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15710.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15710.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17415.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17415.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17415.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17388.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17388.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17388.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17388.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17388.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17381.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17381.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17375.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17375.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17375.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17375.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15944.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15944.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15935.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15935.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15935.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17699.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16714.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16483.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16483.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16483.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386271.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386271.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386271.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386271.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386271.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386207.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15386.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15386.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386276.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386276.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386276.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386276.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386276.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15689.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15689.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386280.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386280.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386280.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386280.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386280.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$14870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut5 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut7 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$14354.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$14835.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut2 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14835.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut3 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14835.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut4 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14835.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut6 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$13821.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14020.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14071.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14354.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$14360.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$14375.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14360.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$14406.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$14406.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$14426.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14433.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14452.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14490.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14507.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14605.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14622.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14675.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14706.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14710.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14726.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$14835.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386280.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$14835.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14909.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14920.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14870.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15097.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15166.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15097.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15689.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20351.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15386.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15546.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15546.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15559.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15612.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386271.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15633.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15166.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15677.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15689.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386276.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15751.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15751.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15758.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15796.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15808.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15821.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15836.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15843.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15860.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15911.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15917.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15917.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15935.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15944.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$15955.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15982.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15989.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20252.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16001.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16051.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16074.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16093.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16112.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16112.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16146.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16168.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16176.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16183.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16209.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16218.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17691.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16274.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16293.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16312.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16341.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16364.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16384.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16390.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16396.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16412.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16424.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16468.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16483.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16468.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16557.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16586.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386270.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16655.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16661.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16699.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16694.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16709.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16709.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16667.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17632.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$16781.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16802.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$16856.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17359.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386204.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16887.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16931.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16951.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386246.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17002.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17051.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17065.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17078.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17108.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17108.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17143.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17147.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17162.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17173.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17191.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17200.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17208.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17222.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17226.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17235.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17250.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17276.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17280.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17327.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17342.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17348.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17354.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17388.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17399.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17421.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17428.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20309.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17457.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17462.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17468.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17472.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17482.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17509.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17516.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17516.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15944.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17550.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17550.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17558.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17578.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386258.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17599.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$17618.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17632.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17640.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17658.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17658.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17663.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17667.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17685.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17691.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17699.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17703.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17708.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17421.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17737.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17742.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17742.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17747.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17753.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$17763.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17775.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17873.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$18262.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$18311.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$18320.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$18335.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$18475.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18665.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18686.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18737.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18818.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$19022.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$19118.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$19199.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$19404.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$19500.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$19581.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$19998.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20221.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20259.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20264.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20272.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15891.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20233.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$20309.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20327.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$16699.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20345.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20351.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20422.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20492.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20537.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20556.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20899.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$21142.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$21285.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$21262.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$20980.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$17781.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$20360.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21426.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21641.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$21698.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21719.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21740.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$14715.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21844.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386207.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386190.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386196.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$21970.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21980.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$21980.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22032.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$auto$opt_dff.cc:219:make_patterns_logic$6477.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22215.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22250.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22379.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22510.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22524.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22554.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22568.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22598.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22611.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22635.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22645.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22677.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22709.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22736.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22742.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22748.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22774.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$22797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22857.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22867.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22898.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22956.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23010.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23099.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23281.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23297.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23307.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23314.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23348.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23364.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23380.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23396.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23451.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23479.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$23486.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23502.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23518.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23534.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23547.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$23667.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$23691.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$23724.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23730.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$23948.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24077.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24093.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24109.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24125.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24138.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$24178.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24282.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24316.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24344.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24424.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$24424.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24433.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$24433.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24468.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$24468.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24477.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$24477.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24531.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24718.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24762.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24779.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24820.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.addr_i[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$24888.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24904.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24920.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24936.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24956.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$24972.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25007.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25026.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25043.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25059.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25075.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25127.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25143.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25241.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25506.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$25506.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25520.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$25541.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25562.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25596.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25633.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25750.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25784.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25888.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25904.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25920.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$25952.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$25952.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25962.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$25962.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25974.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$25974.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$26104.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$26416.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26458.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26465.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26475.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26491.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26609.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26637.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26677.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26705.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$26858.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$26974.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$26974.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$26993.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$26993.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27002.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$27002.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27085.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27141.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$27175.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$27208.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$27224.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$27309.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$27337.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$27377.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$27405.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[6].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[6].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27642.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$27642.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27656.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$27739.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$27758.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[6].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27806.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$27806.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27816.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$27816.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27828.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$27828.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27902.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27997.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28013.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28032.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28048.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28064.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28080.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28101.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28162.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$28169.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28492.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28558.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28625.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28695.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28711.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28727.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28743.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$28783.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$28783.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28793.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$28793.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28805.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$28805.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28887.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28958.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$28958.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29171.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29205.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$29221.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29250.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29285.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29301.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29308.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29318.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29334.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29386.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29402.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29418.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29434.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29486.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29505.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29521.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29596.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29611.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$29611.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29630.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$29630.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29639.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$29639.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29717.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$29805.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29810.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29824.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29824.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29874.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29966.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29966.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$29975.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$29991.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30042.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30058.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30074.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30090.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30112.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30161.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30180.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30213.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30229.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30343.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$30359.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30401.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$30401.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$30415.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$30436.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$30457.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$30539.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$30539.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$30549.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$30549.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$30561.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$30561.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$30669.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[10].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$30784.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30851.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30885.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30954.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30970.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$30986.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31002.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31021.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31032.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31080.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31085.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31159.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$31321.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$31347.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31381.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31427.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$31507.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31523.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31575.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31611.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31678.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31694.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31814.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31826.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$31842.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$31864.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$31864.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31883.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$31883.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31892.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$31892.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31995.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$32213.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32229.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32248.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32264.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32280.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32296.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32315.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32331.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32347.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32369.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32385.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32401.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[13].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$32673.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$32673.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$32687.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$32729.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$32763.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$32763.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$32851.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$32856.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33003.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33019.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$33019.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33060.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33093.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33127.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33161.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33177.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33193.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33212.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33228.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33244.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33260.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33279.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33295.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33311.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33327.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$33463.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$33463.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33477.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$33518.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$33534.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33556.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33647.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$33666.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33712.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33717.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33799.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33874.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$33897.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33923.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33972.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$33991.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34007.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34092.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34108.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34127.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34176.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$34293.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34360.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34376.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34395.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34411.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34427.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34443.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34462.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34478.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34494.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34510.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34565.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$34723.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34728.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$34749.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$34778.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$34778.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$34870.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$34870.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$34889.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$34889.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$34898.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$34898.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35046.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35062.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35078.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35094.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35107.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$35147.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35250.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35284.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35312.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35338.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$35338.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35357.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$35357.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35366.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$35366.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35536.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35536.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35560.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35565.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35657.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$35675.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$35705.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35841.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35857.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35873.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35889.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35902.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$35909.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35925.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35941.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35957.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$35970.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$36016.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36021.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36071.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36071.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36298.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36321.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$36321.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[18].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36389.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36486.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$36486.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36500.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$36519.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$36535.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36550.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36611.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36627.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36643.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36659.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36678.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36694.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36710.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36726.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36748.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36764.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36774.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36781.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36815.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36849.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$36864.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[19].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$36906.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36949.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36972.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$36972.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[19].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$37114.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$37114.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$37133.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$37133.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$37142.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$37142.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$37264.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37269.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$37400.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37416.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37435.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37451.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37467.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37483.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37504.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37565.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$37572.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37629.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$37629.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$37770.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$37822.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37838.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37845.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37855.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37871.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37923.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37939.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37955.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$37971.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38023.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38042.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38058.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38092.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38108.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$38108.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38127.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$38127.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38136.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$38136.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38318.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38392.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$38416.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38473.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38478.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$38521.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38537.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38544.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38554.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38603.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38688.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38704.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38723.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38772.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$38874.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$38874.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$38888.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$39045.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39050.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39061.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39061.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39100.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$39100.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39119.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$39119.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39128.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$39128.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39288.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39304.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39323.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39339.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39355.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39371.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39392.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39426.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39454.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39461.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39620.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$39813.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$39843.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$39859.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39922.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39956.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$39990.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40024.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40094.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40128.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40144.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40160.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40176.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40274.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40284.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$40284.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40397.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40433.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40438.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40492.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40515.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$40515.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40577.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40577.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40614.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40652.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40668.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40684.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40700.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40719.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40735.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40751.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40767.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40789.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40805.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40821.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40837.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40856.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40905.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$40987.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41066.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$41066.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41075.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$41075.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41110.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$41110.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41119.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$41119.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41168.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$41168.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41187.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$41187.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41196.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$41196.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41262.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41296.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41301.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41362.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41381.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41450.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41517.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41533.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41585.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41660.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41702.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41907.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$41932.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$41950.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41997.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42013.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42047.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42081.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42115.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42149.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42219.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42253.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42269.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42285.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42301.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42320.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42335.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42335.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42344.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42344.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42379.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42379.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42388.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42388.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42531.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42546.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42546.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42701.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42706.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42729.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42758.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42758.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42767.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42767.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42802.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42802.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42811.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$42811.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42864.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42885.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42918.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$42952.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43018.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43052.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43089.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43105.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43121.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43137.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43254.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43259.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$43280.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$43280.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43299.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$43299.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43308.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$43308.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43380.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43434.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43461.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43461.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43495.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43529.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43664.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43680.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43732.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43763.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$43767.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43829.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$43834.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43937.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$43953.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$43953.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44029.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44052.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44109.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44125.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44141.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44157.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44176.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44192.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44208.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44278.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44312.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44328.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44409.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44453.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44453.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44462.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44462.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44497.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44497.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44506.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44506.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44587.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44612.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$44651.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44651.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44661.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44661.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44673.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44673.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44785.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44790.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44819.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44819.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44838.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44838.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44847.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$44847.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44957.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$44973.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45007.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45035.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45075.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45178.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45194.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45210.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45276.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$45276.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$45295.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$45295.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$45304.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$45304.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$45458.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$45474.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$45490.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33556.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$36550.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$42729.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$44409.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$23158.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22343.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7148.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7148.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2859:Mux$7056[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[10].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$33799.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$22817.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22837.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$22797.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[19].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$18455.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[22].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3136_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$21375.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2826_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2826_Y[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2826_Y[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2826_Y[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2826_Y[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$27913.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2826_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[10].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[11].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[13].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[18].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[19].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[22].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[6].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\First_Stage.$procmux$2856_Y[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$0\take_jalr_o[0:0].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[22].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[6].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[10].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[18].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[19].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3090_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3090_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3090_Y[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.\Mdu.$procmux$3136_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[10].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$31814.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[22].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[6].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[11].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[18].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$40614.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Fourth_Stage.$ternary$/var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5/rtl/core/MEMWB.sv:48$691_Y[13].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $abc$385370$lut$auto$rtlil.cc:2771:And$7126.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$31032.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$41702.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$25245.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2047_Y[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386194.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$21949.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386197.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386191.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$14931.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$15386.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Second_Stage.$procmux$2053_Y[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.addr_i[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[0].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[10].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[11].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[12].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[13].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[14].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[15].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[16].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[17].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[18].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[19].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[1].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[20].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[21].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[22].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[23].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[24].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[25].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[26].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[27].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[28].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[29].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[2].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[30].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[31].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[3].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[5].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[6].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[7].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[8].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut\u_Controller.Core_Memory.data_i[9].genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385373.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385374.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385376.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385377.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385379.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385382.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385385.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385386.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385388.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385389.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385391.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385392.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385394.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385397.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385398.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385400.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385401.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385403.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385406.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385407.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385409.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385412.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385413.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385415.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385416.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385418.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385421.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385422.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385424.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385425.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385427.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385428.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385430.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385431.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385433.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385434.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385436.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385437.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385945.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385439.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385442.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385445.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385446.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385448.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385449.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385451.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385868.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385454.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385455.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385457.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385458.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385460.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385461.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385463.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385464.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385466.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385467.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385470.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385471.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385473.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385474.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385476.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385477.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385479.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385480.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385482.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385483.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385485.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385486.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385488.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385489.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385491.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385494.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385495.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385497.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385498.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385500.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385503.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385504.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385506.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385509.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385510.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385512.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385513.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385515.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385520.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385928.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385524.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385934.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385533.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385534.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385542.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385545.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385555.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385557.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385565.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385576.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385578.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385588.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385599.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386026.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385609.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385627.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385631.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385691.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385653.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385772.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385780.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385677.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385681.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385684.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386052.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385702.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385709.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385715.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385715.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385721.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385725.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385729.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385729.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385736.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385736.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385738.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385752.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385758.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385776.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385780.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385785.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385673.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385625.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385799.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385806.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385808.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385776.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385787.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386140.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385825.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385836.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385845.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385846.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385854.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385857.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385860.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385868.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385871.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385877.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385881.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385887.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385887.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385889.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385893.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385893.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385899.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385906.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385908.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385918.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385921.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385921.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385922.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385923.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385934.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385939.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385942.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385945.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385958.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385958.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385970.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385971.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385978.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385979.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386004.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385985.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385796.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385998.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385973.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386002.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386004.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386013.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386016.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386026.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386030.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386031.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386150.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386053.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386052.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386057.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386059.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386065.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386073.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386078.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386081.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386081.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$flatten\Processor.\N1.\Third_Stage.$procmux$3434_Y[4].genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386118.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386119.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $abc$385370$lut$aiger385369$22774.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386142.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$21426.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386118.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386174.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$385752.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386207.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386210.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386211.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386212.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386213.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386214.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386215.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386216.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386217.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$17245.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $abc$385370$lut$aiger385369$15808.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386230.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386234.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $abc$385370$lut$aiger385369$15967.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 3) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $abc$385370$lut$aiger385369$16756.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386242.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386243.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386247.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386250.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386251.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386254.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386258.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386259.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386262.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386263.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 2) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386266.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386267.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386270.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386271.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386276.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386277.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386280.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386281.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386282.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386283.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386284.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386285.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386286.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386287.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386288.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386289.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386290.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386291.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386292.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386293.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386294.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386295.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386296.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386297.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386298.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386299.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386300.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386301.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386302.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386303.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386304.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386305.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386306.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386307.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386308.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386309.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386310.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386311.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386312.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386313.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.genblk1.lut0 (4 -> 1) Optimizing lut $auto$abc9_ops.cc:1550:reintegrate$386334.genblk1.genblk1.genblk1.genblk1.genblk1.lut1 (4 -> 0) Removed 0 unused cells and 28119 unused wires. 34.45. Executing AUTONAME pass. Renamed 2190499 objects in module processorci_top (448 iterations). 34.46. Executing HIERARCHY pass (managing design hierarchy). Attribute `top' found on module `processorci_top'. Setting top module to processorci_top. 34.46.1. Analyzing design hierarchy.. Top module: \processorci_top 34.46.2. Analyzing design hierarchy.. Top module: \processorci_top Removed 0 unused modules. 34.47. Printing statistics. === processorci_top === Number of wires: 13032 Number of wire bits: 43064 Number of public wires: 13032 Number of public wire bits: 43064 Number of ports: 10 Number of port bits: 10 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 21076 $scopeinfo 31 CCU2C 503 DP16KD 5 L6MUX21 437 LUT4 13188 MULT18X18D 4 PFUMX 2107 TRELLIS_DPR16X4 1028 TRELLIS_FF 3773 34.48. Executing CHECK pass (checking for obvious problems). Checking module processorci_top... Found and reported 0 problems. 34.49. Executing JSON backend. Warnings: 35 unique messages, 35 total End of script. Logfile hash: eea183510e, CPU: user 127.20s system 1.04s, MEM: 678.77 MB peak Time spent: 26% 1x abc9_exe (33 sec), 18% 1x autoname (23 sec), ... /eda/oss-cad-suite/bin/nextpnr-ecp5 --json colorlight_i9.json --write colorlight_i9_pnr.json --45k \ --lpf /eda/processor_ci/constraints/colorlight_i9.lpf --textcfg colorlight_i9.config --package CABGA381 \ --speed 6 --lpf-allow-unconstrained --ignore-loops /eda/oss-cad-suite/bin/ecppack --compress --input colorlight_i9.config --bit colorlight_i9.bit [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Flash colorlight_i9) [Pipeline] dir Running in /var/jenkins_home/workspace/Grande-Risco-5/Grande-Risco-5 [Pipeline] { [Pipeline] echo Flashing FPGA colorlight_i9. [Pipeline] sh + python3 /eda/processor_ci/main.py -c /eda/processor_ci/config -p Grande-Risco-5 -b colorlight_i9 -l Makefile executed successfully. Makefile output: /eda/oss-cad-suite/bin/openFPGALoader -b colorlight-i9 colorlight_i9.bit empty Found 1 compatible device: 0x0d28 0x0204 0x3 (null) Open file: DONE b3bdffff Parse file: DONE Enable configuration: DONE SRAM erase: DONE Loading: [=== ] 5.88% Loading: [====== ] 11.75% Loading: [========= ] 17.63% Loading: [============ ] 23.51% Loading: [=============== ] 29.38% Loading: [================== ] 35.26% Loading: [===================== ] 41.14% Loading: [======================== ] 47.01% Loading: [=========================== ] 52.89% Loading: [============================== ] 58.77% Loading: [================================= ] 64.64% Loading: [==================================== ] 70.30% Loading: [======================================= ] 76.18% Loading: [========================================== ] 82.05% Loading: [============================================ ] 87.93% Loading: [=============================================== ] 93.81% Loading: [==================================================] 99.68% Loading: [==================================================] 100.00% Done Disable configuration: DONE [Pipeline] } [Pipeline] // dir [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Test colorlight_i9) [Pipeline] echo Testing FPGA colorlight_i9. [Pipeline] sh + echo Test for FPGA in /dev/ttyACM0 Test for FPGA in /dev/ttyACM0 [Pipeline] sh + python3 /eda/processor_ci_tests/main.py -b 115200 -s 2 -c /eda/processor_ci_tests/config.json --p /dev/ttyACM0 -m rv32i -k 0x434F4C4F 32 Connected to FPGA with ID: b'' Checking for sync keyword... Sync keyword mismatch. Expected: b'COLO', Got: b'' [Pipeline] } [Pipeline] // stage [Pipeline] } Lock released on resource [Resource: colorlight_i9] [Pipeline] // lock [Pipeline] } [Pipeline] // stage [Pipeline] } Failed in branch colorlight_i9 [Pipeline] // parallel [Pipeline] } [Pipeline] // stage [Pipeline] stage [Pipeline] { (Declarative: Post Actions) [Pipeline] junit Recording test results [Checks API] No suitable checks publisher found. [Pipeline] } [Pipeline] // stage [Pipeline] } [Pipeline] // node [Pipeline] End of Pipeline ERROR: script returned exit code 1 Finished: FAILURE